FileMood

Download verilog视频课程(特权和红色飓风)

Verilog视频课程 特权和红色飓风

Name

verilog视频课程(特权和红色飓风)

  DOWNLOAD Copy Link

Trouble downloading? see How To

Total Size

4.7 GB

Total Files

5149

Hash

61DB142CE8A43D52A619A27205342760376660B5

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——.实验十一数码管动态显示/scan_led/db/

scan_led.db_info

0.1 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——.实验十一数码管动态显示/scan_led/

scan_led.pof

524.5 KB

scan_led.sof

240.8 KB

scan_led.fit.rpt

100.0 KB

scan_led.tan.rpt

45.7 KB

scan_led.pin

27.4 KB

scan_led.map.rpt

16.9 KB

scan_led.flow.rpt

7.6 KB

scan_led.asm.rpt

7.2 KB

scan_led.qsf

3.7 KB

scan_led.v.bak

2.9 KB

scan_led.v

2.9 KB

scan_led.tan.summary

1.0 KB

Tcl_script1.tcl.bak

0.9 KB

Tcl_script1.tcl

0.9 KB

scan_led.qpf

0.9 KB

scan_led.fit.summary

0.6 KB

scan_led.qws

0.5 KB

scan_led.fit.smsg

0.5 KB

scan_led.map.summary

0.5 KB

scan_led.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——.实验十一数码管动态显示/

FPGA入门系列实验教程——数码管动态显示.pdf

339.8 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——.试验九.PWM输出控制LED显示试验工程/pwm_out/

pwm_out.pof

524.5 KB

pwm_out.sof

240.8 KB

pwm_out.fit.rpt

91.8 KB

pwm_out.tan.rpt

69.8 KB

pwm_out.pin

27.4 KB

pwm_out.map.rpt

20.0 KB

pwm_out.flow.rpt

7.6 KB

pwm_out.asm.rpt

7.1 KB

pwm_out.qsf

2.9 KB

pwm_out.v

1.5 KB

pwm_out.v.bak

1.5 KB

pwm_out.tan.summary

1.4 KB

pwm_out.qpf

0.9 KB

pwm_out.fit.summary

0.6 KB

pwm_out.qws

0.5 KB

pwm_out.fit.smsg

0.5 KB

pwm_out.map.summary

0.5 KB

pwm_out.done

0.0 KB

/.../FPGA入门系列实验教程——.试验九.PWM输出控制LED显示试验工程/

FPGA入门系列实验教程——PWM输出控制LED显示.pdf

330.2 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验一点亮LED/led/db/

led.db_info

0.1 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验一点亮LED/led/

led.pof

524.5 KB

led.sof

240.8 KB

led.fit.rpt

74.7 KB

led.pin

27.4 KB

led.map.rpt

16.9 KB

led.flow.rpt

7.5 KB

led.asm.rpt

6.9 KB

led.tan.rpt

5.5 KB

led.qsf

3.0 KB

led.v

1.1 KB

led.qpf

0.9 KB

led.fit.summary

0.6 KB

led.qws

0.5 KB

led.fit.smsg

0.5 KB

led.map.summary

0.4 KB

led.v.bak

0.1 KB

led.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验一点亮LED/

FPGA入门系列实验教程——点亮LED.pdf

2.3 MB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验三.LED流水灯/ledwater/db/

ledwater.eco.cdb

0.2 KB

ledwater.sld_design_entry.sci

0.2 KB

ledwater.db_info

0.1 KB

/.../FPGA入门系列实验教程——实验三.LED流水灯/ledwater/

ledwater.pof

524.5 KB

ledwater.sof

240.8 KB

ledwater.fit.rpt

94.8 KB

ledwater.tan.rpt

61.4 KB

ledwater.pin

27.4 KB

ledwater.map.rpt

17.8 KB

ledwater.flow.rpt

7.5 KB

ledwater.asm.rpt

7.2 KB

ledwater.qsf

3.1 KB

LEDWATER.v

1.4 KB

LEDWATER.v.bak

1.4 KB

ledwater.tan.summary

1.0 KB

ledwater.qpf

0.9 KB

ledwater.fit.summary

0.6 KB

ledwater.qws

0.5 KB

ledwater.fit.smsg

0.5 KB

ledwater.map.summary

0.5 KB

ledwater.done

0.0 KB

/.../FPGA入门系列实验教程——实验三.LED流水灯/

FPGA入门系列实验教程——流水灯.pdf

648.7 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验二.LED闪烁灯/led1/

led1.pof

524.5 KB

led1.sof

240.8 KB

led1.fit.rpt

94.6 KB

led1.tan.rpt

61.3 KB

led1.pin

27.4 KB

led1.map.rpt

17.9 KB

led1.flow.rpt

7.5 KB

led1.asm.rpt

6.9 KB

led1.qsf

3.1 KB

led1.v

1.6 KB

led1.tan.summary

1.0 KB

led1.qpf

0.9 KB

led1.v.bak

0.6 KB

led1.fit.summary

0.6 KB

led1.qws

0.5 KB

led1.fit.smsg

0.5 KB

led1.map.summary

0.4 KB

led1.done

0.0 KB

/.../FPGA入门系列实验教程——实验二.LED闪烁灯/

FPGA入门系列实验教程——闪烁灯.pdf

333.6 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验五.LED花样彩灯/led_run/

led_run.pof

524.5 KB

led_run.sof

240.8 KB

led_run.fit.rpt

93.5 KB

led_run.tan.rpt

63.9 KB

led_run.pin

27.4 KB

led_run.map.rpt

17.8 KB

led_run.flow.rpt

7.5 KB

led_run.asm.rpt

7.1 KB

led_run.qsf

3.1 KB

led_run.v

2.1 KB

led_run.v.bak

2.0 KB

led_run.tan.summary

1.0 KB

led_run.qpf

0.9 KB

led_run.fit.summary

0.6 KB

led_run.qws

0.5 KB

led_run.fit.smsg

0.5 KB

led_run.map.summary

0.5 KB

led_run.done

0.0 KB

/.../FPGA入门系列实验教程——实验五.LED花样彩灯/

FPGA入门系列实验教程——花样彩灯.pdf

327.4 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验八按键消抖控制LED亮灭/key_debounce/

key_debounce.pof

524.5 KB

key_debounce.sof

240.8 KB

key_debounce.fit.rpt

91.4 KB

key_debounce.tan.rpt

58.5 KB

key_debounce.pin

27.4 KB

key_debounce.map.rpt

16.8 KB

key_debounce.flow.rpt

7.5 KB

key_debounce.asm.rpt

7.4 KB

key_debounce.qsf

2.9 KB

key_debounce.v

1.9 KB

key_debounce.tan.summary

1.4 KB

key_debounce.v.bak

0.9 KB

key_debounce.qpf

0.9 KB

key_debounce.fit.summary

0.6 KB

key_debounce.qws

0.5 KB

key_debounce.fit.smsg

0.5 KB

key_debounce.map.summary

0.5 KB

key_debounce.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验八按键消抖控制LED亮灭/

FPGA入门系列实验教程——按键消抖控制LED亮灭.pdf

333.8 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验六读取按键信号/key_led/

key_led.pof

524.5 KB

key_led.sof

240.8 KB

key_led.fit.rpt

94.9 KB

key_led.pin

27.4 KB

key_led.map.rpt

16.9 KB

key_led.tan.rpt

12.3 KB

key_led.flow.rpt

7.5 KB

key_led.asm.rpt

7.1 KB

key_led.qsf

3.6 KB

key_led.v

1.5 KB

Tcl_script1.tcl

0.9 KB

key_led.qpf

0.9 KB

key_led.tan.summary

0.7 KB

key_led.fit.summary

0.6 KB

key_led.qws

0.5 KB

key_led.fit.smsg

0.5 KB

key_led.v.bak

0.5 KB

key_led.map.summary

0.5 KB

key_led.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验六读取按键信号/

FPGA入门系列实验教程——读取按键信号.pdf

329.5 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验十七.LCD1602显示PS2键盘的键值/ps2_lcd/

top.pof

524.5 KB

top.sof

240.8 KB

top.tan.rpt

122.7 KB

top.fit.rpt

121.6 KB

top.map.rpt

49.4 KB

top.pin

27.4 KB

ps2_keyboard.v.bak

25.7 KB

ps2_keyboard.v

20.1 KB

top.flow.rpt

7.5 KB

top.asm.rpt

6.9 KB

lcd.v

5.2 KB

lcd.v.bak

4.3 KB

top.qsf

4.0 KB

top.v

2.8 KB

top.v.bak

2.5 KB

top.qws

2.2 KB

top.tan.summary

1.8 KB

div_256.v

1.2 KB

DIV16.v

1.2 KB

top.qpf

0.9 KB

top.fit.summary

0.6 KB

top.fit.smsg

0.5 KB

top.map.summary

0.5 KB

div_256.v.bak

0.3 KB

DIV16.v.bak

0.2 KB

top.done

0.0 KB

/.../FPGA入门系列实验教程——实验十七.LCD1602显示PS2键盘的键值/

FPGA入门系列实验教程——LCD1602显示屏显示PS2键盘的键值.pdf

335.3 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十三蜂鸣器发出警车鸣笛声/beep/

beep.pof

524.5 KB

beep.sof

240.8 KB

beep.fit.rpt

89.9 KB

beep.tan.rpt

62.3 KB

beep.pin

27.4 KB

beep.map.rpt

18.0 KB

beep.flow.rpt

7.5 KB

beep.asm.rpt

6.9 KB

beep.qsf

2.8 KB

beep.v

1.4 KB

beep.tan.summary

1.0 KB

beep.qpf

0.9 KB

beep.fit.summary

0.6 KB

beep.qws

0.5 KB

beep.fit.smsg

0.5 KB

beep.v.bak

0.5 KB

beep.map.summary

0.4 KB

beep.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十三蜂鸣器发出警车鸣笛声/beep1/

beep.pof

524.5 KB

beep.sof

240.8 KB

beep.fit.rpt

90.1 KB

beep.tan.rpt

62.3 KB

beep.pin

27.4 KB

beep.map.rpt

18.0 KB

beep.flow.rpt

7.5 KB

beep.asm.rpt

6.8 KB

beep.qsf

2.8 KB

beep.v

1.6 KB

beep.tan.summary

1.0 KB

beep.qpf

0.9 KB

beep.v.bak

0.6 KB

beep.fit.summary

0.6 KB

beep.qws

0.5 KB

beep.fit.smsg

0.5 KB

beep.map.summary

0.4 KB

beep.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十三蜂鸣器发出警车鸣笛声/

FPGA入门系列实验教程——蜂鸣器发出警车鸣笛声.pdf

343.7 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验十八.VGA彩色信号显示/VGA/

VGA.pof

524.5 KB

VGA.sof

240.8 KB

VGA.fit.rpt

92.7 KB

VGA.tan.rpt

71.9 KB

VGA.pin

27.4 KB

VGA.map.rpt

19.2 KB

VGA.flow.rpt

7.5 KB

VGA.asm.rpt

6.9 KB

VGA.v

3.9 KB

VGA.qsf

2.9 KB

VGA.v.bak

2.9 KB

VGA.tan.summary

1.4 KB

VGA.qpf

0.9 KB

VGA.fit.summary

0.6 KB

VGA.qws

0.5 KB

VGA.fit.smsg

0.5 KB

VGA.map.summary

0.4 KB

VGA.done

0.0 KB

/.../FPGA入门系列实验教程——实验十八.VGA彩色信号显示/

FPGA入门系列实验教程——VGA彩色信号显示.pdf

381.5 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验十六.LCD1602显示/lcd1602/db/

lcd1602.db_info

0.1 KB

/.../FPGA入门系列实验教程——实验十六.LCD1602显示/lcd1602/

lcd1602.pof

524.5 KB

lcd1602.sof

240.8 KB

lcd1602.fit.rpt

101.2 KB

lcd1602.tan.rpt

88.5 KB

lcd1602.map.rpt

30.9 KB

lcd1602.pin

27.4 KB

lcd.v

7.9 KB

lcd.v.bak

7.9 KB

lcd1602.flow.rpt

7.5 KB

lcd1602.asm.rpt

7.1 KB

lcd1602.qsf

3.3 KB

lcd1602.tan.summary

1.8 KB

lcd1602.v

1.6 KB

lcd1602.v.bak

1.6 KB

lcd1602.qws

1.4 KB

DIV16.v

1.2 KB

DIV16.v.bak

1.2 KB

lcd1602.qpf

0.9 KB

lcd1602.fit.summary

0.6 KB

lcd1602.fit.smsg

0.5 KB

lcd1602.map.summary

0.5 KB

lcd1602.done

0.0 KB

/.../FPGA入门系列实验教程——实验十六.LCD1602显示/

字符手册.pdf

1.4 MB

FPGA入门系列实验教程——液晶1602显示.pdf

346.0 KB

1602 LCD字符模块使用手册.pdf

297.3 KB

1602液晶说明.pdf

262.0 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十四硬件电子琴/key_music/

key_music.pof

524.5 KB

key_music.sof

240.8 KB

key_music.fit.rpt

108.9 KB

key_music.tan.rpt

93.8 KB

key_music.pin

27.4 KB

key_music.map.rpt

17.1 KB

key_music.flow.rpt

7.5 KB

key_music.asm.rpt

7.1 KB

key_music.qsf

3.5 KB

key_music.v

2.7 KB

key_music.v.bak

2.7 KB

key_music.tan.summary

1.7 KB

key_music.qpf

0.9 KB

key_music.qws

0.6 KB

key_music.fit.summary

0.6 KB

key_music.fit.smsg

0.5 KB

key_music.map.summary

0.5 KB

key_music.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十四硬件电子琴/

FPGA入门系列实验教程——硬件电子琴.pdf

344.5 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十数码管静态显示/led_display/

led_display.pof

524.5 KB

led_display.sof

240.8 KB

led_display.fit.rpt

99.7 KB

led_display.tan.rpt

65.5 KB

led_display.pin

27.4 KB

led_display.map.rpt

19.7 KB

led_display.flow.rpt

7.7 KB

led_display.asm.rpt

7.3 KB

led_display.qsf

3.7 KB

led_display.v.bak

2.0 KB

led_display.v

2.0 KB

led_display.tan.summary

1.0 KB

Tcl_script1.tcl

0.9 KB

led_display.qpf

0.9 KB

led_display.fit.summary

0.6 KB

led_display.qws

0.5 KB

led_display.fit.smsg

0.5 KB

led_display.map.summary

0.5 KB

led_display.done

0.0 KB

/华清远见FPGA入门视频教程/FPGA入门系列实验教程——实验十数码管静态显示/

FPGA入门系列实验教程——数码管静态显示.pdf

329.8 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../FPGA入门系列实验教程——实验四.LED跑马灯/ledwalk/

ledwalk.pof

524.5 KB

ledwalk.sof

240.8 KB

ledwalk.fit.rpt

94.9 KB

ledwalk.tan.rpt

63.9 KB

ledwalk.pin

27.4 KB

ledwalk.map.rpt

16.9 KB

ledwalk.flow.rpt

7.5 KB

ledwalk.asm.rpt

7.1 KB

ledwalk.qsf

3.0 KB

ledwalk.v

1.3 KB

ledwalk.tan.summary

1.0 KB

ledwalk.fit.summary

0.6 KB

ledwalk.qws

0.5 KB

ledwalk.fit.smsg

0.5 KB

ledwalk.map.summary

0.5 KB

ledwalk.v.bak

0.3 KB

ledwalk.done

0.0 KB

/.../FPGA入门系列实验教程——实验四.LED跑马灯/

FPGA入门系列实验教程——跑马灯.pdf

341.0 KB

FPGA入门系列实验教程V1.0.pdf

93.9 KB

/.../2006年5月27日姚老师《FPGA技术与数字系统设计基础》PDF课件/

farsight060527FPGA.pdf

1.0 MB

/.../2006年5月27日姚老师《FPGA技术与数字系统设计基础》视频/

farsight060527FPGA.wmv

47.2 MB

/.../2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》视频/Farsight060917FPGA/Farsight060917FPGA_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/.../2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》视频/Farsight060917FPGA/Farsight060917FPGA_media/

Farsight060917FPGA.swf

5.7 MB

Farsight060917FPGA_controller.swf

60.5 KB

Farsight060917FPGA_preload.swf

1.9 KB

Farsight060917FPGA_config.xml

0.8 KB

/.../2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》视频/Farsight060917FPGA/

index.html

32.6 KB

使用说明.txt

0.3 KB

/.../2007年4月21日姚老师《FPGA在视频图像处理领域的应用》视频/Farsight070421FPGA/Farsight070421FPGA_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/.../2007年4月21日姚老师《FPGA在视频图像处理领域的应用》视频/Farsight070421FPGA/Farsight070421FPGA_media/

Farsight070421FPGA.swf

6.2 MB

Farsight070421FPGA_controller.swf

60.5 KB

Farsight070421FPGA_preload.swf

9.0 KB

Farsight070421FPGA_config.xml

0.8 KB

/.../2007年4月21日姚老师《FPGA在视频图像处理领域的应用》视频/Farsight070421FPGA/

index.html

39.4 KB

title.gif

6.9 KB

说明.txt

0.4 KB

/.../2007年7月14日姚老师《FPGA硬件最小系统设计》视频/Farsight070714FPGA/

Farsight070714FPGA.swf

14.5 MB

Farsight070714FPGA_controller.swf

176.5 KB

ProductionInfo.xml

29.0 KB

Farsight070714FPGA_preload.swf

13.9 KB

Farsight070714FPGA_nofp_bg.gif

10.9 KB

Farsight070714FPGA_config.xml

10.4 KB

swfobject.js

6.9 KB

index.html

2.3 KB

Farsight070714FPGA.js

0.5 KB

FlashTemplate.css

0.4 KB

说明.txt

0.4 KB

/.../2007年8月25日陆老师《FPGA系统设计的主要思路和方法初探》视频/Farsight070825FPGA/

Farsight070825FPGA.swf

16.2 MB

Farsight070825FPGA_controller.swf

176.8 KB

Farsight070825FPGA_preload.swf

13.9 KB

ProductionInfo.xml

13.3 KB

Farsight070825FPGA_nofp_bg.gif

10.9 KB

Farsight070825FPGA_config.xml

8.1 KB

swfobject.js

6.9 KB

index.html

2.9 KB

Farsight070825FPGA.js

0.5 KB

FlashTemplate.css

0.4 KB

说明.txt

0.4 KB

/.../2008年11月01日陆阳老师《FPGA设计的良好设计方法及误区》视频/farsight081101fpga/

farsight081101fpga.swf

17.4 MB

farsight081101fpga_controller.swf

176.5 KB

farsight081101fpga_preload.swf

97.4 KB

ProductionInfo.xml

13.7 KB

farsight081101fpga_nofp_bg.gif

10.9 KB

farsight081101fpga_config.xml

8.1 KB

swfobject.js

6.9 KB

index.htm

3.1 KB

farsight081101fpga.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../2008年11月29日姚远老师《FPGA的高端应用案例》视频/farsight081129FPGA/

farsight081129FPGA.swf

12.7 MB

farsight081129FPGA_controller.swf

176.5 KB

farsight081129FPGA_preload.swf

97.4 KB

ProductionInfo.xml

34.4 KB

farsight081129FPGA_nofp_bg.gif

10.9 KB

farsight081129FPGA_config.xml

10.7 KB

swfobject.js

6.9 KB

index.htm

4.0 KB

farsight081129FPGA.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../2008年5月24日姚老师《Altera FPGA的选型及开发》视频/Farsight080524FPGA1/

Farsight080524FPGA1.swf

19.3 MB

Farsight080524FPGA1_controller.swf

176.5 KB

ProductionInfo.xml

76.0 KB

Farsight080524FPGA1_config.xml

17.2 KB

Farsight080524FPGA1_preload.swf

13.9 KB

Farsight080524FPGA1_nofp_bg.gif

10.9 KB

swfobject.js

6.9 KB

index.html

3.0 KB

Farsight080524FPGA1.js

0.5 KB

FlashTemplate.css

0.4 KB

说明.txt

0.4 KB

/.../2008年5月24日姚老师《FPGA在视频处理领域的应用》视频/Farsight080524FPGA3/

Farsight080524FPGA3.swf

12.9 MB

Farsight080524FPGA3_controller.swf

176.5 KB

ProductionInfo.xml

53.9 KB

Farsight080524FPGA3_preload.swf

13.9 KB

Farsight080524FPGA3_config.xml

13.9 KB

Farsight080524FPGA3_nofp_bg.gif

10.9 KB

swfobject.js

6.9 KB

index.html

3.0 KB

Farsight080524FPGA3.js

0.5 KB

FlashTemplate.css

0.4 KB

说明.txt

0.4 KB

/.../2008年5月24日潘老师《Xilinx FPGA开发要点介绍》视频/Farsight080524FPGA2/

Farsight080524FPGA2.swf

17.0 MB

Farsight080524FPGA2_controller.swf

176.5 KB

ProductionInfo.xml

25.3 KB

Farsight080524FPGA2_preload.swf

13.9 KB

Farsight080524FPGA2_nofp_bg.gif

10.9 KB

Farsight080524FPGA2_config.xml

10.2 KB

swfobject.js

6.9 KB

index.html

3.0 KB

Farsight080524FPGA2.js

0.5 KB

FlashTemplate.css

0.4 KB

说明.txt

0.4 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/其它/

2008年5月24日姚老师《Altera FPGA的选型及开发》PDF课件.pdf

2.9 MB

2008年5月24日姚老师《FPGA在视频处理领域的应用》PDF课件.pdf

1.5 MB

2007年4月21日姚老师《FPGA在视频图像处理领域的应用》PDF课件.pdf

1.3 MB

2006年9月17日姚老师《基于FPGA的数字视频图像处理系统设计方法》PDF课件.pdf

1.0 MB

2007年8月25日陆老师《FPGA系统设计的主要思路和方法初探》PDF课件.pdf

784.6 KB

2008年11月29日姚远老师《FPGA的高端应用案例》PDF课件.pdf

682.6 KB

2007年7月14日姚老师《FPGA硬件最小系统设计》PDF课件.pdf

674.3 KB

2008年11月01日陆阳老师《FPGA设计的良好设计方法及误区》PDF课件.pdf

670.3 KB

2008年5月24日潘老师《Xilinx FPGA开发要点介绍》PDF课件.pdf

614.7 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第一讲:FPGA设计流程概述/Farsight060721FPGA/Farsight060527FPGA1_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第一讲:FPGA设计流程概述/Farsight060721FPGA/Farsight060527FPGA1_media/

Farsight060527FPGA1.swf

7.3 MB

Farsight060527FPGA1_controller.swf

60.5 KB

Farsight060527FPGA1_preload.swf

1.9 KB

Farsight060527FPGA1_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第一讲:FPGA设计流程概述/Farsight060721FPGA/

index.html

33.3 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第一讲:FPGA设计流程概述/课件/

第一讲FPGA设计流程.pdf

3.1 MB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第七讲:sopc软件系统/Farsight060915FPGA-2/Farsight060915FPGA-2/Farsight060915FPGA-2_media/images/

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第七讲:sopc软件系统/Farsight060915FPGA-2/Farsight060915FPGA-2/Farsight060915FPGA-2_media/

Farsight060915FPGA-2.swf

7.9 MB

Farsight060915FPGA-2_controller.swf

60.5 KB

Farsight060915FPGA-2_preload.swf

13.8 KB

Farsight060915FPGA-2_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第七讲:sopc软件系统/Farsight060915FPGA-2/Farsight060915FPGA-2/

index.html

28.5 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-1/Farsight060801FPGA-1/Farsight060801FPGA-1_media/images/

voling.gif

11.9 KB

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_top.gif

0.2 KB

border_bottom.gif

0.2 KB

border_bottom_right.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-1/Farsight060801FPGA-1/Farsight060801FPGA-1_media/

Farsight060801FPGA-1.swf

5.4 MB

Farsight060801FPGA-1_controller.swf

60.5 KB

Farsight060801FPGA-1_preload.swf

13.8 KB

Farsight060801FPGA-1_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-1/Farsight060801FPGA-1/

index.html

18.2 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-2/Farsight060801FPGA-2/Farsight060801FPGA-2_media/images/

voling.gif

11.9 KB

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_top.gif

0.2 KB

border_bottom.gif

0.2 KB

border_bottom_right.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-2/Farsight060801FPGA-2/Farsight060801FPGA-2_media/

Farsight060801FPGA-2.swf

11.5 MB

Farsight060801FPGA-2_controller.swf

60.5 KB

Farsight060801FPGA-2_preload.swf

13.8 KB

Farsight060801FPGA-2_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/Farsight060801FPGA-2/Farsight060801FPGA-2/

index.html

39.4 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第三讲:verilogHDL基础/课件/

第三讲VerilogHDL基础.pdf

1.1 MB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门1/Farsight060724FPGA-1/Farsight060724FPGA-1_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门1/Farsight060724FPGA-1/Farsight060724FPGA-1_media/

Farsight060724FPGA-1.swf

3.3 MB

Farsight060724FPGA-1_controller.swf

60.5 KB

Farsight060724FPGA-1_preload.swf

9.0 KB

Farsight060724FPGA-1_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门1/Farsight060724FPGA-1/

index.html

10.3 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门2/Farsight060724FPGA-2/Farsight060724FPGA-2_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门2/Farsight060724FPGA-2/Farsight060724FPGA-2_media/

Farsight060724FPGA-2.swf

3.4 MB

Farsight060724FPGA-2_controller.swf

60.5 KB

Farsight060724FPGA-2_preload.swf

9.0 KB

Farsight060724FPGA-2_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门2/Farsight060724FPGA-2/

index.html

10.3 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门3/Farsight060724FPGA-3/Farsight060724FPGA-3_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门3/Farsight060724FPGA-3/Farsight060724FPGA-3_media/

Farsight060724FPGA-3.swf

6.1 MB

Farsight060724FPGA-3_controller.swf

60.5 KB

Farsight060724FPGA-3_preload.swf

9.0 KB

Farsight060724FPGA-3_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门3/Farsight060724FPGA-3/

index.html

10.2 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门4/Farsight060724FPGA-4/Farsight060724FPGA-4_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门4/Farsight060724FPGA-4/Farsight060724FPGA-4_media/

Farsight060724FPGA-4.swf

9.9 MB

Farsight060724FPGA-4_controller.swf

60.5 KB

Farsight060724FPGA-4_preload.swf

9.0 KB

Farsight060724FPGA-4_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门4/Farsight060724FPGA-4/

index.html

10.2 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门5/Farsight060724FPGA-5/Farsight060724FPGA-5_media/images/

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门5/Farsight060724FPGA-5/Farsight060724FPGA-5_media/

Farsight060724FPGA-5.swf

1.3 MB

Farsight060724FPGA-5_controller.swf

60.5 KB

Farsight060724FPGA-5_preload.swf

9.0 KB

Farsight060724FPGA-5_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/FPGA设计入门5/Farsight060724FPGA-5/

index.html

10.2 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第二讲:FPGA设计入门/课后练习题/

第二讲课后实验.pdf

643.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第五讲:ModelSim软件使用方法和技巧/Farsight060921FPGA/Farsight060921FPGA/Farsight060921FPGA_media/images/

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第五讲:ModelSim软件使用方法和技巧/Farsight060921FPGA/Farsight060921FPGA/Farsight060921FPGA_media/

Farsight060921FPGA.swf

21.1 MB

Farsight060921FPGA_controller.swf

60.5 KB

Farsight060921FPGA_preload.swf

9.0 KB

Farsight060921FPGA_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第五讲:ModelSim软件使用方法和技巧/Farsight060921FPGA/Farsight060921FPGA/

index.html

9.8 KB

使用说明.txt

0.3 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第六讲:Sopc硬件系统/Farsight060915FPGA-1/Farsight060915FPGA-1/Farsight060915FPGA-1_media/images/

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_bottom_right.gif

0.2 KB

border_bottom.gif

0.2 KB

border_top.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第六讲:Sopc硬件系统/Farsight060915FPGA-1/Farsight060915FPGA-1/Farsight060915FPGA-1_media/

Farsight060915FPGA-1.swf

11.8 MB

Farsight060915FPGA-1_controller.swf

60.5 KB

Farsight060915FPGA-1_preload.swf

13.8 KB

Farsight060915FPGA-1_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第六讲:Sopc硬件系统/Farsight060915FPGA-1/Farsight060915FPGA-1/

index.html

25.1 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第四讲:verilogHDL的组合逻辑设计方法/Farsight060814FPGA/Farsight060814FPGA_media/images/

voling.gif

11.9 KB

photo-yy.jpg

9.6 KB

title.gif

6.9 KB

background_darker.gif

0.9 KB

border_top.gif

0.2 KB

border_bottom.gif

0.2 KB

border_bottom_right.gif

0.2 KB

border_bottom_left.gif

0.2 KB

border_top_right.gif

0.2 KB

bottom.gif

0.1 KB

spacer.gif

0.1 KB

bg_table_light.gif

0.0 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第四讲:verilogHDL的组合逻辑设计方法/Farsight060814FPGA/Farsight060814FPGA_media/

Farsight060814FPGA.swf

9.7 MB

Farsight060814FPGA_controller.swf

60.5 KB

Farsight060814FPGA_preload.swf

13.8 KB

Farsight060814FPGA_config.xml

0.8 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第四讲:verilogHDL的组合逻辑设计方法/Farsight060814FPGA/

index.html

29.7 KB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/第四讲:verilogHDL的组合逻辑设计方法/课件/

第四讲:Verilog HDL中的组合逻辑设计方法.pdf

1.7 MB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/预备课程/farsight060527FPGA/

farsight060527FPGA.wmv

47.2 MB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅰ/预备课程/课件/

farsight060527FPGA.pdf

1.0 MB

/华清远见FPGA入门视频教程/华清远见FPGA入门视频教程/红色飓风FPGA入门普及活动Ⅱ/第一讲:FPGA系统设计基础/第一讲:FPGA系统设计基础/farsight-fpga2-1/

farsight-fpga2-1.swf

10.0 MB

farsight-fpga2-1_controller.swf

176.5 KB

farsight-fpga2-1_preload.swf

141.8 KB

ProductionInfo.xml

28.9 KB

farsight-fpga2-1_nofp_bg.gif

10.9 KB

farsight-fpga2-1_config.xml

9.6 KB

swfobject.js

6.9 KB

index.htm

5.2 KB

farsight-fpga2-1.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第七讲:QuartusII 7.2设计入门/farsight-fpga2-7/farsight-fpga2-7/

farsight-fpga2-7.swf

45.4 MB

farsight-fpga2-7_controller.swf

176.5 KB

farsight-fpga2-7_preload.swf

97.4 KB

ProductionInfo.xml

15.6 KB

farsight-fpga2-7_nofp_bg.gif

10.9 KB

farsight-fpga2-7_config.xml

8.1 KB

swfobject.js

6.9 KB

index.htm

4.3 KB

farsight-fpga2-7.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第三讲:从零开始设计FPGA最小系统(二)外围电路/farsight-fpga2-3/farsight-fpga2-3/

farsight-fpga2-3.swf

7.0 MB

farsight-fpga2-3_controller.swf

176.5 KB

farsight-fpga2-3_preload.swf

141.8 KB

ProductionInfo.xml

28.0 KB

farsight-fpga2-3_nofp_bg.gif

10.9 KB

farsight-fpga2-3_config.xml

9.6 KB

swfobject.js

6.9 KB

index.htm

5.1 KB

farsight-fpga2-3.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第三讲:从零开始设计FPGA最小系统(二)外围电路/

第三讲:FPGA最小系统设计之二-外围电路.pdf

692.6 KB

/.../第九讲:FPGA系统设计技巧-乒乓操作和流水线/farsight-fpga2-9/

farsight-fpga2-9.swf

5.0 MB

farsight-fpga2-9_controller.swf

176.5 KB

farsight-fpga2-9_preload.swf

141.8 KB

ProductionInfo.xml

26.9 KB

farsight-fpga2-9_nofp_bg.gif

10.9 KB

farsight-fpga2-9_config.xml

9.4 KB

swfobject.js

6.9 KB

index.htm

5.0 KB

farsight-fpga2-9.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第二讲:FPGA最小系统设计(一)核心电路/farsight-fpga2-2/

farsight-fpga2-2.swf

9.9 MB

farsight-fpga2-2_controller.swf

176.5 KB

farsight-fpga2-2_preload.swf

97.4 KB

ProductionInfo.xml

34.8 KB

farsight-fpga2-2_nofp_bg.gif

10.9 KB

farsight-fpga2-2_config.xml

10.7 KB

swfobject.js

6.9 KB

index.htm

4.4 KB

farsight-fpga2-2.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第五讲:Verilog HDL语法(二)任务与函数/farsight-fpga2-5/

farsight-fpga2-5.swf

5.5 MB

farsight-fpga2-5_controller.swf

176.5 KB

farsight-fpga2-5_preload.swf

141.8 KB

ProductionInfo.xml

29.5 KB

farsight-fpga2-5_nofp_bg.gif

10.9 KB

farsight-fpga2-5_config.xml

9.7 KB

swfobject.js

6.9 KB

index.htm

5.0 KB

farsight-fpga2-5.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第八讲:NIOSII 7.2 设计入门/farsight-fpga2-8/farsight-fpga2-8/

farsight-fpga2-8.swf

49.3 MB

farsight-fpga2-8_controller.swf

176.5 KB

farsight-fpga2-8_preload.swf

97.4 KB

ProductionInfo.xml

15.1 KB

farsight-fpga2-8_nofp_bg.gif

10.9 KB

farsight-fpga2-8_config.xml

8.1 KB

swfobject.js

6.9 KB

index.htm

4.1 KB

farsight-fpga2-8.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第六讲:Verilog HDL语法(三)有限状态机/farsight-fpga2-6/

farsight-fpga2-6.swf

6.0 MB

farsight-fpga2-6_controller.swf

176.5 KB

farsight-fpga2-6_preload.swf

141.8 KB

ProductionInfo.xml

28.5 KB

farsight-fpga2-6_nofp_bg.gif

10.9 KB

farsight-fpga2-6_config.xml

9.6 KB

swfobject.js

6.9 KB

index.htm

5.0 KB

farsight-fpga2-6.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../farsight-fpga2-10/

farsight-fpga2-10.swf

6.5 MB

farsight-fpga2-10_controller.swf

176.5 KB

farsight-fpga2-10_preload.swf

141.8 KB

ProductionInfo.xml

25.8 KB

farsight-fpga2-10_nofp_bg.gif

10.9 KB

farsight-fpga2-10_config.xml

9.3 KB

swfobject.js

6.9 KB

index.htm

5.0 KB

farsight-fpga2-10.js

0.5 KB

FlashTemplate.css

0.4 KB

/.../第四讲:Verilog HDL语法(一)常用语句/farsight-fpga2-4/

farsight-fpga2-4.swf

7.2 MB

farsight-fpga2-4_controller.swf

176.5 KB

farsight-fpga2-4_preload.swf

141.8 KB

ProductionInfo.xml

40.7 KB

farsight-fpga2-4_config.xml

11.2 KB

farsight-fpga2-4_nofp_bg.gif

10.9 KB

swfobject.js

6.9 KB

index.htm

5.0 KB

farsight-fpga2-4.js

0.5 KB

FlashTemplate.css

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex1/ledverilog/db/

prev_cmp_johnson.qmsg

66.6 KB

johnson_global_asgn_op.abo

37.2 KB

prev_cmp_johnson.fit.qmsg

36.5 KB

johnson.fit.qmsg

36.3 KB

prev_cmp_johnson.tan.qmsg

24.2 KB

johnson.tan.qmsg

24.1 KB

johnson.cmp0.ddb

20.5 KB

johnson.cmp.rdb

16.6 KB

johnson.cmp.hdb

7.5 KB

johnson.cmp.tdb

7.5 KB

johnson.sgdiff.hdb

7.2 KB

johnson.map.hdb

7.0 KB

johnson.pre_map.hdb

6.8 KB

johnson.rtlv.hdb

6.8 KB

johnson.cmp.cdb

5.7 KB

prev_cmp_johnson.map.qmsg

3.2 KB

johnson.map.qmsg

3.2 KB

johnson.asm.qmsg

2.0 KB

prev_cmp_johnson.asm.qmsg

2.0 KB

johnson.map.cdb

2.0 KB

johnson.sgdiff.cdb

1.8 KB

johnson.(0).cnf.cdb

1.8 KB

johnson.pre_map.cdb

1.5 KB

johnson.rtlv_sg.cdb

1.4 KB

johnson.hier_info

1.2 KB

johnson.lpc.txt

1.1 KB

johnson.(0).cnf.hdb

0.8 KB

johnson.hif

0.7 KB

johnson.lpc.html

0.4 KB

johnson.lpc.rdb

0.4 KB

johnson.cmp.kpt

0.3 KB

johnson.rtlv_sg_swap.cdb

0.2 KB

johnson.tis_db_list.ddb

0.2 KB

johnson.eco.cdb

0.2 KB

johnson.sld_design_entry.sci

0.2 KB

johnson.sld_design_entry_dsc.sci

0.2 KB

johnson.db_info

0.1 KB

johnson.cbx.xml

0.1 KB

johnson.cmp.logdb

0.0 KB

johnson.map.logdb

0.0 KB

johnson.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex1/ledverilog/incremental_db/compiled_partitions/

johnson.root_partition.map.kpt

13.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex1/ledverilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex1/ledverilog/

johnson.pof

131.3 KB

johnson.fit.rpt

74.9 KB

johnson.sof

74.1 KB

johnson.tan.rpt

61.1 KB

johnson_assignment_defaults.qdf

40.2 KB

johnson.pin

20.3 KB

johnson.map.rpt

16.7 KB

johnson.asm.rpt

8.4 KB

johnson.flow.rpt

8.1 KB

johnson.qsf

3.1 KB

johnson.v

1.0 KB

johnson.tan.summary

1.0 KB

johnson.v.bak

0.9 KB

johnson.qpf

0.9 KB

johnson.qws

0.5 KB

johnson.fit.smsg

0.4 KB

johnson.fit.summary

0.4 KB

johnson.cdf

0.4 KB

johnson.map.summary

0.3 KB

johnson.dpf

0.2 KB

johnson.done

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/db/

seg7_global_asgn_op.abo

134.1 KB

seg7.cmp0.ddb

35.2 KB

seg7.map.kpt

31.4 KB

prev_cmp_seg7.qmsg

26.1 KB

seg7.cmp.cdb

25.3 KB

seg7.fit.qmsg

22.3 KB

seg7.sta_cmp.8_slow.tdb

21.9 KB

prev_cmp_seg7.tan.qmsg

20.3 KB

seg7.cmp.rdb

15.1 KB

prev_cmp_seg7.fit.qmsg

14.0 KB

seg7.cmp.hdb

10.6 KB

seg7.sgdiff.hdb

9.8 KB

seg7.map.hdb

9.6 KB

seg7.(0).cnf.cdb

9.5 KB

seg7.pre_map.hdb

9.3 KB

seg7.rtlv.hdb

9.2 KB

seg7.pre_map.cdb

9.0 KB

seg7.rtlv_sg.cdb

8.9 KB

seg7.map.cdb

7.7 KB

seg7.sgdiff.cdb

7.1 KB

seg7.map_bb.hdb

6.5 KB

seg7.sta.qmsg

4.5 KB

prev_cmp_seg7.sta.qmsg

4.4 KB

seg7.sta.rdb

4.3 KB

seg7.hier_info

3.5 KB

seg7.map.qmsg

3.2 KB

prev_cmp_seg7.map.qmsg

3.1 KB

prev_cmp_seg7.eda.qmsg

2.3 KB

seg7.eda.qmsg

2.3 KB

seg7.asm.qmsg

2.0 KB

prev_cmp_seg7.asm.qmsg

2.0 KB

seg7.(0).cnf.hdb

1.9 KB

seg7.hif

1.7 KB

seg7.lpc.txt

1.1 KB

seg7.map_bb.cdb

0.6 KB

seg7.cmp.bpm

0.5 KB

seg7.map.bpm

0.5 KB

seg7.lpc.html

0.4 KB

seg7.lpc.rdb

0.4 KB

seg7.cmp_merge.kpt

0.3 KB

seg7.cmp.kpt

0.3 KB

seg7.rtlv_sg_swap.cdb

0.2 KB

seg7.tis_db_list.ddb

0.2 KB

seg7.eco.cdb

0.2 KB

seg7.sld_design_entry.sci

0.2 KB

seg7.sld_design_entry_dsc.sci

0.2 KB

seg7.db_info

0.1 KB

seg7.cbx.xml

0.1 KB

seg7.map.ecobp

0.0 KB

seg7.cmp.ecobp

0.0 KB

seg7.map_bb.logdb

0.0 KB

seg7.map.logdb

0.0 KB

seg7.cmp.logdb

0.0 KB

seg7.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/incremental_db/compiled_partitions/

seg7.root_partition.map.kpt

31.4 KB

seg7.root_partition.cmp.atm

19.8 KB

seg7.root_partition.map.atm

15.2 KB

seg7.root_partition.cmp.rcf

6.3 KB

seg7.root_partition.cmp.hdbx

3.7 KB

seg7.root_partition.map.hdbx

3.1 KB

seg7.root_partition.map.dpi

0.9 KB

seg7.root_partition.cmp.kpt

0.3 KB

seg7.root_partition.cmp.dfp

0.0 KB

seg7.root_partition.cmp.logdb

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/

verilog.asm

190.2 KB

_primary.dat

19.9 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/

verilog.asm

14.6 KB

_primary.dat

1.8 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/

verilog.asm

200.5 KB

_primary.dat

19.0 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/

verilog.asm

1.5 KB

_primary.dat

1.3 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@m@f_cycloneiii_pll/

verilog.asm

650.9 KB

_primary.dat

65.7 KB

_primary.vhd

7.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@m@f_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@m@f_stratixiii_pll/

verilog.asm

843.2 KB

_primary.dat

82.3 KB

_primary.vhd

11.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@m@f_stratixii_pll/

verilog.asm

749.2 KB

_primary.dat

74.1 KB

_primary.vhd

7.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/@m@f_stratix_pll/

verilog.asm

754.1 KB

_primary.dat

80.1 KB

_primary.vhd

9.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/alt3pram/

verilog.asm

133.3 KB

_primary.dat

10.6 KB

_primary.vhd

1.9 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altaccumulate/

verilog.asm

51.7 KB

_primary.dat

3.8 KB

_primary.vhd

0.9 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altcam/

verilog.asm

538.9 KB

_primary.dat

47.2 KB

_primary.vhd

1.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altclklock/

verilog.asm

141.1 KB

_primary.dat

14.4 KB

_primary.vhd

1.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altddio_bidir/

verilog.asm

14.1 KB

_primary.dat

1.8 KB

_primary.vhd

1.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altddio_in/

verilog.asm

34.0 KB

_primary.dat

3.4 KB

_primary.vhd

0.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altddio_out/

verilog.asm

35.3 KB

_primary.dat

3.4 KB

_primary.vhd

1.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altdpram/

verilog.asm

151.0 KB

_primary.dat

11.0 KB

_primary.vhd

1.9 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altdq_dqs/

verilog.asm

930.8 KB

_primary.dat

80.4 KB

_primary.vhd

9.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altfp_mult/

verilog.asm

136.9 KB

_primary.dat

11.5 KB

_primary.vhd

1.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altlvds_rx/

verilog.asm

478.6 KB

_primary.dat

34.4 KB

_primary.vhd

3.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altlvds_tx/

verilog.asm

463.3 KB

_primary.dat

32.5 KB

_primary.vhd

1.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altmult_accum/

verilog.asm

592.8 KB

_primary.dat

37.1 KB

_primary.vhd

4.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altmult_add/

verilog.asm

2.0 MB

_primary.dat

125.0 KB

_primary.vhd

10.4 KB

/.../altparallel_flash_loader/

verilog.asm

13.9 KB

_primary.vhd

2.2 KB

_primary.dat

1.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altpll/

verilog.asm

465.8 KB

_primary.dat

41.5 KB

_primary.vhd

18.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altqpram/

verilog.asm

239.9 KB

_primary.dat

17.8 KB

_primary.vhd

3.0 KB

/.../altserial_flash_loader/

verilog.asm

3.9 KB

_primary.vhd

0.6 KB

_primary.dat

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altshift_taps/

verilog.asm

23.3 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altsource_probe/

verilog.asm

11.2 KB

_primary.vhd

1.5 KB

_primary.dat

1.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altsqrt/

verilog.asm

33.1 KB

_primary.dat

2.7 KB

_primary.vhd

0.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altsquare/

verilog.asm

24.9 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altstratixii_oct/

verilog.asm

2.4 KB

_primary.vhd

0.4 KB

_primary.dat

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/altsyncram/

verilog.asm

645.0 KB

_primary.dat

49.7 KB

_primary.vhd

3.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/arm_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/arm_n_cntr/

verilog.asm

8.7 KB

_primary.dat

1.0 KB

_primary.vhd

0.3 KB

/.../arm_scale_cntr/

verilog.asm

12.6 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/a_graycounter/

verilog.asm

10.6 KB

_primary.dat

0.9 KB

_primary.vhd

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cda_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cda_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../cda_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_and1/

verilog.asm

2.2 KB

_primary.dat

0.2 KB

_primary.vhd

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_and16/

verilog.asm

5.7 KB

_primary.dat

0.8 KB

_primary.vhd

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_asmiblock/

verilog.asm

2.6 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../cyclone_asynch_io/

verilog.asm

21.2 KB

_primary.dat

2.3 KB

_primary.vhd

0.5 KB

/.../cyclone_asynch_lcell/

verilog.asm

72.8 KB

_primary.dat

6.0 KB

_primary.vhd

1.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_b17mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_b5mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_bmux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_crcblock/

verilog.asm

2.9 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_dffe/

verilog.asm

10.0 KB

_primary.dat

1.0 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_dll/

verilog.asm

46.6 KB

_primary.dat

4.7 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_io/

verilog.asm

45.9 KB

_primary.dat

3.9 KB

_primary.vhd

1.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_jtag/

verilog.asm

5.5 KB

_primary.vhd

0.8 KB

_primary.dat

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_latch/

verilog.asm

8.3 KB

_primary.dat

0.9 KB

_primary.vhd

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_lcell/

verilog.asm

13.6 KB

_primary.dat

1.7 KB

_primary.vhd

1.6 KB

/.../cyclone_lcell_register/

verilog.asm

26.8 KB

_primary.dat

3.1 KB

_primary.vhd

0.9 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_mux21/

verilog.asm

5.7 KB

_primary.dat

0.5 KB

_primary.vhd

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_mux41/

verilog.asm

8.3 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_nmux21/

verilog.asm

2.8 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/cyclone_pll/

verilog.asm

754.5 KB

_primary.dat

80.2 KB

_primary.vhd

9.7 KB

/.../cyclone_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_block/

verilog.asm

201.5 KB

_primary.dat

15.6 KB

_primary.vhd

3.5 KB

/.../cyclone_ram_pulse_generator/

verilog.asm

6.4 KB

_primary.dat

0.7 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_register/

verilog.asm

14.4 KB

_primary.dat

1.4 KB

_primary.vhd

0.6 KB

/.../cyclone_routing_wire/

verilog.asm

3.0 KB

_primary.dat

0.3 KB

_primary.vhd

0.2 KB

/.../cyclone_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dcfifo/

verilog.asm

18.9 KB

_primary.dat

2.1 KB

_primary.vhd

1.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dcfifo_async/

verilog.asm

96.4 KB

_primary.dat

8.3 KB

_primary.vhd

1.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dcfifo_dffpipe/

verilog.asm

12.8 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dcfifo_fefifo/

verilog.asm

22.0 KB

_primary.dat

2.1 KB

_primary.vhd

0.6 KB

/.../dcfifo_low_latency/

verilog.asm

144.7 KB

_primary.dat

10.2 KB

_primary.vhd

1.5 KB

/.../dcfifo_mixed_widths/

verilog.asm

195.2 KB

_primary.dat

10.8 KB

_primary.vhd

1.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dcfifo_sync/

verilog.asm

76.9 KB

_primary.dat

5.5 KB

_primary.vhd

1.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dffp/

verilog.asm

4.0 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/dummy_hub/

verilog.asm

57.1 KB

_primary.dat

4.0 KB

_primary.vhd

2.4 KB

/.../flexible_lvds_rx/

verilog.asm

127.5 KB

_primary.dat

8.6 KB

_primary.vhd

1.0 KB

/.../flexible_lvds_tx/

verilog.asm

160.5 KB

_primary.dat

10.5 KB

_primary.vhd

0.9 KB

/.../jtag_tap_controller/

verilog.asm

39.0 KB

_primary.dat

5.4 KB

_primary.vhd

1.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/lcell/

verilog.asm

1.5 KB

_primary.vhd

0.2 KB

_primary.dat

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/parallel_add/

verilog.asm

50.8 KB

_primary.dat

2.9 KB

_primary.vhd

0.8 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/pll_iobuf/

verilog.asm

3.4 KB

_primary.vhd

0.3 KB

_primary.dat

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/print_task/

verilog.asm

6.3 KB

_primary.dat

0.5 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/scfifo/

verilog.asm

141.9 KB

_primary.dat

12.8 KB

_primary.vhd

1.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/seg7/

verilog.asm

275.9 KB

_primary.dat

66.2 KB

_primary.vhd

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/signal_gen/

verilog.asm

94.1 KB

_primary.dat

9.8 KB

_primary.vhd

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/sld_signaltap/

verilog.asm

22.5 KB

_primary.vhd

3.6 KB

_primary.dat

2.9 KB

/.../sld_virtual_jtag/

verilog.asm

20.7 KB

_primary.dat

2.6 KB

_primary.vhd

1.8 KB

/.../stratixgx_dpa_lvds_rx/

verilog.asm

117.0 KB

_primary.dat

8.5 KB

_primary.vhd

0.8 KB

/.../stratixiii_lvds_rx/

verilog.asm

264.5 KB

_primary.dat

33.7 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_channel/

verilog.asm

62.3 KB

_primary.dat

6.8 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_dpa/

verilog.asm

43.4 KB

_primary.dat

4.1 KB

_primary.vhd

0.9 KB

/.../stratixii_lvds_rx/

verilog.asm

80.9 KB

_primary.dat

6.6 KB

_primary.vhd

1.2 KB

/.../stratixii_tx_outclk/

verilog.asm

14.9 KB

_primary.dat

1.2 KB

_primary.vhd

0.5 KB

/.../stratix_lvds_rx/

verilog.asm

17.7 KB

_primary.dat

1.3 KB

_primary.vhd

0.4 KB

/.../stratix_tx_outclk/

verilog.asm

15.9 KB

_primary.dat

1.3 KB

_primary.vhd

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/stx_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/stx_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../stx_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../sys_ctrl_task/

verilog.asm

4.9 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/tb_seg7/

verilog.asm

3.8 KB

_primary.dat

0.5 KB

_primary.vhd

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/ttn_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/ttn_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../ttn_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/cyclone/

_info

31.6 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/simulation/modelsim/

altera_mf.v

2.3 MB

cyclone_atoms.v

244.8 KB

seg7.vo

118.7 KB

seg7_v.sdo

89.0 KB

tb_seg7prj.mpf

15.5 KB

tb_seg7prj.cr.mti

6.4 KB

seg7_modelsim.xrf

5.8 KB

sys_ctrl_task.v

1.4 KB

print_task.v

1.3 KB

tb_seg7.v.bak

0.9 KB

tb_seg7.v

0.9 KB

seg7.sft

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex2/seg7_verilog/

seg7.pof

131.3 KB

seg7.fit.rpt

80.0 KB

seg7.sof

74.1 KB

seg7.tan.rpt

61.0 KB

seg7_assignment_defaults.qdf

42.5 KB

seg7.sta.rpt

22.4 KB

seg7.map.rpt

21.7 KB

seg7.pin

20.3 KB

seg7.flow.rpt

8.5 KB

seg7.asm.rpt

8.1 KB

seg7.eda.rpt

5.9 KB

seg7.v

5.5 KB

seg7.v.bak

5.4 KB

seg7.sdc

3.2 KB

seg7.qsf

3.0 KB

seg7.tan.summary

1.0 KB

seg7.qpf

0.9 KB

seg7.qws

0.5 KB

seg7.fit.smsg

0.4 KB

seg7.fit.summary

0.4 KB

seg7.cdf

0.4 KB

seg7.sta.summary

0.3 KB

seg7.map.summary

0.3 KB

seg7.dpf

0.2 KB

seg7.done

0.0 KB

/.../ex7_Cyclone_PLL_Test1/db/

prev_cmp_cyclone_PLL.qmsg

47.3 KB

cyclone_PLL_global_asgn_op.abo

25.2 KB

cyclone_PLL.fit.qmsg

21.6 KB

prev_cmp_cyclone_PLL.fit.qmsg

20.5 KB

cyclone_PLL.hif

18.7 KB

cyclone_PLL.cmp.rdb

17.3 KB

cyclone_PLL.cmp0.ddb

16.9 KB

cyclone_PLL.map.qmsg

16.2 KB

prev_cmp_cyclone_PLL.map.qmsg

15.8 KB

cyclone_PLL.sgdiff.hdb

13.9 KB

cyclone_PLL.pre_map.hdb

13.8 KB

cyclone_PLL.rtlv.hdb

13.8 KB

cyclone_PLL.cmp.hdb

8.1 KB

cyclone_PLL.map.hdb

8.0 KB

prev_cmp_cyclone_PLL.tan.qmsg

7.1 KB

cyclone_PLL.map_bb.hdb

7.0 KB

cyclone_PLL.sta.qmsg

5.8 KB

cyclone_PLL.rtlv_sg.cdb

3.5 KB

cyclone_PLL.rtlv_sg_swap.cdb

3.3 KB

cyclone_PLL.sta.rdb

2.9 KB

cyclone_PLL.eda.qmsg

2.4 KB

prev_cmp_cyclone_PLL.eda.qmsg

2.3 KB

cyclone_PLL.cmp.cdb

2.3 KB

cyclone_PLL.asm.qmsg

2.0 KB

prev_cmp_cyclone_PLL.asm.qmsg

2.0 KB

cyclone_PLL.pre_map.cdb

1.9 KB

cyclone_PLL.(2).cnf.cdb

1.8 KB

cyclone_PLL.hier_info

1.8 KB

cyclone_PLL.(1).cnf.cdb

1.7 KB

cyclone_PLL.lpc.txt

1.5 KB

cyclone_PLL.map.cdb

1.3 KB

cyclone_PLL.(1).cnf.hdb

1.2 KB

cyclone_PLL.sta_cmp.8_slow.tdb

1.1 KB

cyclone_PLL.sgdiff.cdb

1.1 KB

cyclone_PLL.(2).cnf.hdb

1.0 KB

cyclone_PLL.lpc.html

0.8 KB

cyclone_PLL.(0).cnf.cdb

0.8 KB

cyclone_PLL.(0).cnf.hdb

0.7 KB

cyclone_PLL.map_bb.cdb

0.6 KB

cyclone_PLL.cmp.bpm

0.5 KB

cyclone_PLL.map.bpm

0.5 KB

cyclone_PLL.lpc.rdb

0.4 KB

cyclone_PLL.cmp_merge.kpt

0.3 KB

cyclone_PLL.cmp.kpt

0.3 KB

cyclone_PLL.map.kpt

0.3 KB

cyclone_PLL.tis_db_list.ddb

0.2 KB

cyclone_PLL.eco.cdb

0.2 KB

cyclone_PLL.sld_design_entry.sci

0.2 KB

cyclone_PLL.sld_design_entry_dsc.sci

0.2 KB

cyclone_PLL.db_info

0.1 KB

cyclone_PLL.cbx.xml

0.1 KB

cyclone_PLL.cmp.ecobp

0.0 KB

cyclone_PLL.map.ecobp

0.0 KB

cyclone_PLL.map_bb.logdb

0.0 KB

cyclone_PLL.map.logdb

0.0 KB

cyclone_PLL.cmp.logdb

0.0 KB

cyclone_PLL.syn_hier_info

0.0 KB

/.../ex7_Cyclone_PLL_Test1/incremental_db/compiled_partitions/

cyclone_PLL.root_partition.cmp.atm

7.2 KB

cyclone_PLL.root_partition.merge_hb.atm

6.2 KB

cyclone_PLL.root_partition.map.atm

6.0 KB

cyclone_PLL.root_partition.map.dpi

3.5 KB

cyclone_PLL.root_partition.cmp.hdbx

2.1 KB

cyclone_PLL.root_partition.map.hdbx

2.0 KB

cyclone_PLL.root_partition.cmp.rcf

0.6 KB

cyclone_PLL.root_partition.map.kpt

0.3 KB

cyclone_PLL.root_partition.cmp.kpt

0.3 KB

cyclone_PLL.root_partition.cmp.dfp

0.0 KB

cyclone_PLL.root_partition.cmp.logdb

0.0 KB

/.../ex7_Cyclone_PLL_Test1/incremental_db/

README

0.7 KB

/.../ex7_Cyclone_PLL_Test1/simulation/modelsim/

cyclone_atoms.v

244.8 KB

altera_mf_components.vhd

154.4 KB

cyclone_PLL.vo

15.4 KB

cyclone_PLL.vo.bak

14.3 KB

stratixgx_mf_components.vhd

12.9 KB

cyclone_PLL_v.sdo

2.4 KB

cyclone_PLL_modelsim.xrf

1.3 KB

tb_PLL.v

0.4 KB

tb_PLL.v.bak

0.4 KB

cyclone_PLL.sft

0.1 KB

/.../ex7_Cyclone_PLL_Test1/

PLL_ctrl_wave0.jpg

436.9 KB

cyclone_PLL.pof

131.3 KB

cyclone_PLL.fit.rpt

76.7 KB

cyclone_PLL.sof

74.1 KB

cyclone_PLL.map.rpt

62.1 KB

cyclone_PLL_assignment_defaults.qdf

42.5 KB

cyclone_PLL.pin

20.3 KB

PLL_ctrl.v

15.1 KB

cyclone_PLL.sta.rpt

12.1 KB

PLL_ctrl_bb.v

11.4 KB

cyclone_PLL.tan.rpt

10.8 KB

cyclone_PLL.flow.rpt

10.1 KB

cyclone_PLL.asm.rpt

8.6 KB

cyclone_PLL.eda.rpt

6.1 KB

PLL_ctrl.bsf

3.4 KB

cyclone_PLL.qsf

3.0 KB

cyclone_PLL_top.v

1.1 KB

cyclone_PLL_top.v.bak

1.1 KB

cyclone_PLL.qpf

0.9 KB

cyclone_PLL.v.bak

0.9 KB

PLL_ctrl_waveforms.html

0.9 KB

cyclone_PLL.tan.summary

0.8 KB

PLL_ctrl.qip

0.5 KB

cyclone_PLL.qws

0.5 KB

PLL_ctrl.ppf

0.5 KB

tb_PLL.v.bak

0.4 KB

cyclone_PLL.fit.summary

0.4 KB

cyclone_PLL.fit.smsg

0.4 KB

cyclone_PLL.map.summary

0.3 KB

cyclone_PLL.dpf

0.2 KB

cyclone_PLL.sta.summary

0.2 KB

PLL_ctrl_inst.v

0.1 KB

cyclone_PLL.done

0.0 KB

cyclone_PLL_description.txt

0.0 KB

/.../ex8_cyclone_PLL_Test2/db/

cyclone_PLL_global_asgn_op.abo

158.1 KB

prev_cmp_cyclone_PLL.qmsg

125.3 KB

cyclone_PLL.tan.qmsg

70.7 KB

prev_cmp_cyclone_PLL.tan.qmsg

65.4 KB

cyclone_PLL.fit.qmsg

41.2 KB

prev_cmp_cyclone_PLL.fit.qmsg

39.3 KB

cyclone_PLL.cmp.rdb

35.8 KB

cyclone_PLL.cmp0.ddb

34.7 KB

cyclone_PLL.cmp.tdb

28.9 KB

cyclone_PLL.map.kpt

27.1 KB

cyclone_PLL.cmp.cdb

22.9 KB

cyclone_PLL.hif

18.7 KB

cyclone_PLL.map.qmsg

16.0 KB

prev_cmp_cyclone_PLL.map.qmsg

16.0 KB

cyclone_PLL.sgdiff.hdb

14.4 KB

cyclone_PLL.pre_map.hdb

14.3 KB

cyclone_PLL.rtlv.hdb

14.2 KB

cyclone_PLL.cmp.hdb

10.9 KB

cyclone_PLL.map.hdb

10.0 KB

cyclone_PLL.map_bb.hdb

7.4 KB

cyclone_PLL.rtlv_sg.cdb

6.4 KB

cyclone_PLL.map.cdb

6.3 KB

cyclone_PLL.sgdiff.cdb

5.0 KB

cyclone_PLL.pre_map.cdb

4.7 KB

cyclone_PLL.(0).cnf.cdb

4.0 KB

cyclone_PLL.rtlv_sg_swap.cdb

3.3 KB

cyclone_PLL.hier_info

3.3 KB

cyclone_PLL.eda.qmsg

2.4 KB

prev_cmp_cyclone_PLL.eda.qmsg

2.4 KB

prev_cmp_cyclone_PLL.asm.qmsg

2.0 KB

cyclone_PLL.asm.qmsg

2.0 KB

cyclone_PLL.(2).cnf.cdb

1.8 KB

cyclone_PLL.(1).cnf.cdb

1.7 KB

cyclone_PLL.lpc.txt

1.5 KB

cyclone_PLL.(0).cnf.hdb

1.3 KB

cyclone_PLL.(1).cnf.hdb

1.2 KB

cyclone_PLL.(2).cnf.hdb

1.0 KB

cyclone_PLL.lpc.html

0.8 KB

cyclone_PLL.map_bb.cdb

0.6 KB

cyclone_PLL.cmp.bpm

0.5 KB

cyclone_PLL.map.bpm

0.5 KB

cyclone_PLL.lpc.rdb

0.4 KB

cyclone_PLL.cmp_merge.kpt

0.3 KB

cyclone_PLL.cmp.kpt

0.3 KB

cyclone_PLL.tis_db_list.ddb

0.2 KB

cyclone_PLL.eco.cdb

0.2 KB

cyclone_PLL.sld_design_entry_dsc.sci

0.2 KB

cyclone_PLL.sld_design_entry.sci

0.2 KB

cyclone_PLL.db_info

0.1 KB

cyclone_PLL.cbx.xml

0.1 KB

cyclone_PLL.map.ecobp

0.0 KB

cyclone_PLL.cmp.ecobp

0.0 KB

cyclone_PLL.map_bb.logdb

0.0 KB

cyclone_PLL.cmp.logdb

0.0 KB

cyclone_PLL.map.logdb

0.0 KB

cyclone_PLL.syn_hier_info

0.0 KB

/.../ex8_cyclone_PLL_Test2/incremental_db/compiled_partitions/

cyclone_PLL.root_partition.map.kpt

27.1 KB

cyclone_PLL.root_partition.cmp.atm

23.3 KB

cyclone_PLL.root_partition.map.atm

19.0 KB

cyclone_PLL.root_partition.merge_hb.atm

8.5 KB

cyclone_PLL.root_partition.cmp.rcf

5.8 KB

cyclone_PLL.root_partition.cmp.hdbx

4.1 KB

cyclone_PLL.root_partition.map.hdbx

3.6 KB

cyclone_PLL.root_partition.map.dpi

3.5 KB

cyclone_PLL.root_partition.cmp.kpt

0.3 KB

cyclone_PLL.root_partition.cmp.dfp

0.0 KB

cyclone_PLL.root_partition.cmp.logdb

0.0 KB

/.../ex8_cyclone_PLL_Test2/incremental_db/

README

0.7 KB

/.../ex8_cyclone_PLL_Test2/simulation/modelsim/

cyclone_atoms.v

244.8 KB

cyclone_PLL.vo

130.8 KB

cyclone_PLL_v.sdo

87.1 KB

cyclone_PLL_modelsim.xrf

7.9 KB

tb_PLL.v

0.5 KB

tb_PLL.v.bak

0.5 KB

cyclone_PLL.sft

0.1 KB

/.../ex8_cyclone_PLL_Test2/

PLL_ctrl_wave0.jpg

548.4 KB

cyclone_PLL.tan.rpt

287.3 KB

cyclone_PLL.pof

131.3 KB

cyclone_PLL.fit.rpt

93.8 KB

cyclone_PLL.sof

74.1 KB

cyclone_PLL.map.rpt

61.4 KB

PLL_BZ.GIF

49.6 KB

cyclone_PLL_assignment_defaults.qdf

42.5 KB

cyclone_PLL.pin

20.3 KB

PLL_ctrl.v

16.5 KB

pll_no_delay.GIF

12.7 KB

PLL_ctrl_bb.v

12.5 KB

PLL_DELAY.GIF

12.0 KB

cyclone_PLL.flow.rpt

10.4 KB

cyclone_PLL.asm.rpt

8.6 KB

cyclone_PLL.eda.rpt

6.1 KB

PLL_ctrl.bsf

3.9 KB

cyclone_PLL.qsf

3.1 KB

cyclone_PLL.tan.summary

2.4 KB

cyclone_PLL_top.v

1.8 KB

cyclone_PLL_top.v.bak

1.8 KB

cyclone_PLL.qpf

0.9 KB

cyclone_PLL.v.bak

0.9 KB

PLL_ctrl_waveforms.html

0.9 KB

PLL_ctrl.ppf

0.5 KB

PLL_ctrl.qip

0.5 KB

cyclone_PLL.qws

0.5 KB

tb_PLL.v.bak

0.4 KB

cyclone_PLL.fit.summary

0.4 KB

cyclone_PLL.fit.smsg

0.4 KB

cyclone_PLL.map.summary

0.3 KB

cyclone_PLL.dpf

0.2 KB

PLL_ctrl_inst.v

0.1 KB

cyclone_PLL.done

0.0 KB

cyclone_PLL_description.txt

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex4/uartfifo/db/

uartfifo_global_asgn_op.abo

272.6 KB

uartfifo.map.kpt

50.8 KB

uartfifo.cmp0.ddb

37.9 KB

uartfifo.cmp.cdb

30.0 KB

uartfifo.sta_cmp.8_slow.tdb

29.7 KB

prev_cmp_uartfifo.fit.qmsg

26.5 KB

uartfifo.tan.qmsg

26.4 KB

prev_cmp_uartfifo.tan.qmsg

26.4 KB

uartfifo.fit.qmsg

26.2 KB

uartfifo.sgate.rvd

22.2 KB

uartfifo.hier_info

22.0 KB

uartfifo.sgdiff.hdb

20.8 KB

prev_cmp_uartfifo.qmsg

19.4 KB

uartfifo.pre_map.hdb

19.0 KB

uartfifo.ae.hdb

19.0 KB

uartfifo.rtlv.hdb

19.0 KB

uartfifo.map.qmsg

18.7 KB

uartfifo.cmp.rdb

18.3 KB

prev_cmp_uartfifo.map.qmsg

17.6 KB

uartfifo.rtlv_sg.cdb

17.4 KB

uartfifo.cmp.hdb

16.1 KB

uartfifo.map.hdb

15.8 KB

uartfifo.pre_map.cdb

13.6 KB

altsyncram_egl1.tdf

12.5 KB

uartfifo.map.cdb

10.9 KB

uartfifo.hif

9.9 KB

uartfifo.sgdiff.cdb

9.3 KB

uartfifo.map_bb.hdb

9.0 KB

prev_cmp_uartfifo.sta.qmsg

5.9 KB

uartfifo.sta.qmsg

5.9 KB

uartfifo.lpc.html

5.9 KB

uartfifo.lpc.txt

5.3 KB

a_fefifo_18e.tdf

4.3 KB

cntr_bc7.tdf

4.3 KB

cntr_vbb.tdf

4.3 KB

uartfifo.sta.rdb

4.1 KB

a_dpfifo_pn61.tdf

3.3 KB

uartfifo.(6).cnf.cdb

3.0 KB

uartfifo.(1).cnf.cdb

2.8 KB

uartfifo.rtlv_sg_swap.cdb

2.7 KB

uartfifo.(7).cnf.cdb

2.5 KB

uartfifo.(13).cnf.cdb

2.5 KB

dpram_4351.tdf

2.4 KB

uartfifo.(12).cnf.cdb

2.4 KB

scfifo_ih61.tdf

2.4 KB

uartfifo.(10).cnf.cdb

2.4 KB

uartfifo.eda.qmsg

2.3 KB

prev_cmp_uartfifo.eda.qmsg

2.3 KB

uartfifo.asm.qmsg

2.0 KB

prev_cmp_uartfifo.asm.qmsg

2.0 KB

uartfifo.(5).cnf.cdb

2.0 KB

uartfifo.rpp.qmsg

1.8 KB

uartfifo.(0).cnf.cdb

1.6 KB

uartfifo.(9).cnf.cdb

1.6 KB

uartfifo.(2).cnf.cdb

1.3 KB

uartfifo.(11).cnf.cdb

1.3 KB

uartfifo.(3).cnf.cdb

1.1 KB

uartfifo.(8).cnf.cdb

1.1 KB

uartfifo.(1).cnf.hdb

1.1 KB

uartfifo.(0).cnf.hdb

1.0 KB

uartfifo.(4).cnf.cdb

1.0 KB

uartfifo.(6).cnf.hdb

1.0 KB

uartfifo.(12).cnf.hdb

0.9 KB

uartfifo.(11).cnf.hdb

0.9 KB

uartfifo.(2).cnf.hdb

0.9 KB

uartfifo.(13).cnf.hdb

0.8 KB

uartfifo.(7).cnf.hdb

0.8 KB

uartfifo.(10).cnf.hdb

0.8 KB

uartfifo.(9).cnf.hdb

0.8 KB

uartfifo.(5).cnf.hdb

0.7 KB

uartfifo.lpc.rdb

0.7 KB

uartfifo.(3).cnf.hdb

0.6 KB

uartfifo.map_bb.cdb

0.6 KB

uartfifo.(8).cnf.hdb

0.6 KB

uartfifo.(4).cnf.hdb

0.5 KB

uartfifo.cmp.bpm

0.5 KB

uartfifo.map.bpm

0.5 KB

uartfifo.cmp_merge.kpt

0.3 KB

uartfifo.cmp.kpt

0.3 KB

uartfifo.sgate_sm.rvd

0.2 KB

uartfifo.cbx.xml

0.2 KB

uartfifo.tis_db_list.ddb

0.2 KB

uartfifo.eco.cdb

0.2 KB

uartfifo.sld_design_entry.sci

0.2 KB

uartfifo.sld_design_entry_dsc.sci

0.2 KB

uartfifo.db_info

0.1 KB

uartfifo.map.ecobp

0.0 KB

uartfifo.cmp.ecobp

0.0 KB

uartfifo.map.logdb

0.0 KB

uartfifo.cmp.logdb

0.0 KB

uartfifo.map_bb.logdb

0.0 KB

uartfifo.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex4/uartfifo/incremental_db/compiled_partitions/

uartfifo.root_partition.map.kpt

50.8 KB

uartfifo.root_partition.cmp.atm

28.7 KB

uartfifo.root_partition.map.atm

27.0 KB

uartfifo.root_partition.cmp.rcf

7.9 KB

uartfifo.root_partition.map.hdbx

7.5 KB

uartfifo.root_partition.cmp.hdbx

7.2 KB

uartfifo.root_partition.map.dpi

2.3 KB

uartfifo.root_partition.cmp.kpt

0.3 KB

uartfifo.root_partition.cmp.dfp

0.0 KB

uartfifo.root_partition.cmp.logdb

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex4/uartfifo/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex4/uartfifo/simulation/modelsim/

uartfifo.vo

229.4 KB

uartfifo_v.sdo

125.4 KB

uartfifo_modelsim.xrf

16.0 KB

uartfifo.sft

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex4/uartfifo/

uartfifo.pof

131.3 KB

uartfifo.tan.rpt

130.6 KB

uartfifo.fit.rpt

107.3 KB

uartfifo.sof

74.1 KB

fifo232_wave0.jpg

71.1 KB

uartfifo_assignment_defaults.qdf

42.5 KB

uartfifo.map.rpt

39.8 KB

uartfifo.sta.rpt

36.1 KB

uartfifo.jpg

28.2 KB

uartfifo.pin

20.3 KB

uartfifo.flow.rpt

9.4 KB

uartfifo.asm.rpt

8.2 KB

fifo232.v

6.4 KB

uartfifo.eda.rpt

5.9 KB

fifo232_bb.v

5.4 KB

uartfifo.qsf

3.5 KB

fifo232.bsf

2.9 KB

uart_tx.v

2.6 KB

uart_speed_select.v

2.1 KB

uartfifo.v

1.7 KB

uartfifo.v.bak

1.6 KB

uart_ctrl.v.bak

1.6 KB

uart_ctrl.v

1.6 KB

datagene.v.bak

1.5 KB

datagene.v

1.4 KB

uartfifo.tan.summary

1.2 KB

uartfifo.qpf

0.9 KB

fifo232_waveforms.html

0.8 KB

uartfifo.qws

0.5 KB

fifo232.qip

0.4 KB

uartfifo.fit.summary

0.4 KB

uartfifo.fit.smsg

0.4 KB

uartfifo.sta.summary

0.3 KB

uartfifo.map.summary

0.3 KB

uartfifo.dpf

0.2 KB

fifo232_inst.v

0.2 KB

uartfifo.done

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex5/vgachar/db/

vga256_global_asgn_op.abo

166.0 KB

prev_cmp_vga256.qmsg

80.3 KB

prev_cmp_vga256.fit.qmsg

44.4 KB

vga256.fit.qmsg

43.7 KB

vga256.cmp0.ddb

38.9 KB

vga256.cmp.cdb

30.5 KB

vga256.cmp.tdb

26.7 KB

prev_cmp_vga256.tan.qmsg

26.4 KB

vga256.tan.qmsg

25.2 KB

vga256.cmp.rdb

19.8 KB

vga256.map.kpt

19.4 KB

vga256.cmp.hdb

11.1 KB

vga256.map.hdb

10.3 KB

vga256.sgdiff.hdb

10.1 KB

vga256.pre_map.hdb

9.7 KB

vga256.rtlv.hdb

9.7 KB

vga256.map.cdb

9.1 KB

vga256.(0).cnf.cdb

9.0 KB

vga256.sgdiff.cdb

7.7 KB

vga256.pre_map.cdb

7.6 KB

vga256.rtlv_sg.cdb

7.5 KB

vga256.map_bb.hdb

7.0 KB

prev_cmp_vga256.map.qmsg

5.0 KB

vga256.map.qmsg

4.3 KB

prev_cmp_vga256.eda.qmsg

2.3 KB

vga256.eda.qmsg

2.3 KB

vga256.hier_info

2.2 KB

vga256.asm.qmsg

2.0 KB

prev_cmp_vga256.asm.qmsg

2.0 KB

vga256.(0).cnf.hdb

1.9 KB

vga256.hif

1.8 KB

vga256.lpc.txt

1.1 KB

vga256.map_bb.cdb

0.7 KB

vga256.cmp.bpm

0.6 KB

vga256.map.bpm

0.6 KB

vga256.lpc.html

0.4 KB

vga256.lpc.rdb

0.4 KB

vga256.cmp_merge.kpt

0.3 KB

vga256.cmp.kpt

0.3 KB

vga256.rtlv_sg_swap.cdb

0.2 KB

vga256.tis_db_list.ddb

0.2 KB

vga256.eco.cdb

0.2 KB

vga256.sld_design_entry_dsc.sci

0.2 KB

vga256.sld_design_entry.sci

0.2 KB

vga256.db_info

0.1 KB

vga256.cbx.xml

0.1 KB

vga256.map.ecobp

0.0 KB

vga256.cmp.ecobp

0.0 KB

vga256.map_bb.logdb

0.0 KB

vga256.map.logdb

0.0 KB

vga256.cmp.logdb

0.0 KB

vga256.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex5/vgachar/incremental_db/compiled_partitions/

vga256.root_partition.cmp.atm

22.4 KB

vga256.root_partition.map.kpt

19.4 KB

vga256.root_partition.map.atm

17.8 KB

vga256.root_partition.cmp.rcf

7.6 KB

vga256.root_partition.cmp.hdbx

3.8 KB

vga256.root_partition.map.hdbx

3.4 KB

vga256.root_partition.map.dpi

0.9 KB

vga256.root_partition.cmp.kpt

0.3 KB

vga256.root_partition.cmp.dfp

0.0 KB

vga256.root_partition.cmp.logdb

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex5/vgachar/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex5/vgachar/simulation/modelsim/

vga256.vo

138.1 KB

vga256_v.sdo

93.8 KB

vga256_modelsim.xrf

7.4 KB

vga256.sft

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex5/vgachar/

vga256.pof

131.3 KB

vga256.fit.rpt

85.9 KB

vga256.sof

74.1 KB

vga256.tan.rpt

62.8 KB

vga256.vPreview

46.8 KB

vga256_assignment_defaults.qdf

42.5 KB

vga256.map.rpt

22.1 KB

vga256.pin

20.3 KB

vga256.flow.rpt

8.8 KB

vga256.asm.rpt

8.0 KB

vga_char.v

5.9 KB

vga256.eda.rpt

5.9 KB

vga_char.v.bak

5.9 KB

vga256.v.bak

4.5 KB

vga256.qsf

3.6 KB

vga256.tan.summary

1.0 KB

vga256.qpf

0.9 KB

vga256.qws

0.5 KB

vga256.fit.smsg

0.4 KB

vga256.fit.summary

0.4 KB

vga256.cdf

0.4 KB

vga256.map.summary

0.3 KB

vga256.dpf

0.2 KB

vga256.done

0.0 KB

vga256_description.txt

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex6/ex11_m4kvgachar/db/

vga256_global_asgn_op.abo

163.1 KB

prev_cmp_vga256.qmsg

83.2 KB

prev_cmp_vga256.fit.qmsg

46.4 KB

vga256.fit.qmsg

42.5 KB

vga256.cmp0.ddb

36.7 KB

vga256.cmp.cdb

26.7 KB

vga256.cmp.tdb

26.4 KB

vga256.tan.qmsg

25.3 KB

vga256.cmp.rdb

22.3 KB

vga256.map.kpt

22.0 KB

prev_cmp_vga256.tan.qmsg

21.3 KB

vga256.sgdiff.hdb

14.2 KB

vga256.pre_map.hdb

13.6 KB

vga256.rtlv.hdb

13.6 KB

vga256.cmp.hdb

13.4 KB

vga256.map.hdb

12.7 KB

vga256.map.qmsg

11.0 KB

prev_cmp_vga256.map.qmsg

11.0 KB

vga256.rtlv_sg.cdb

10.8 KB

vga256.(0).cnf.cdb

9.5 KB

vga256.pre_map.cdb

9.4 KB

vga256.map.cdb

9.1 KB

altsyncram_1051.tdf

8.5 KB

vga256.map_bb.hdb

8.2 KB

vga256.sgdiff.cdb

7.8 KB

vga256.hier_info

6.4 KB

vga256.hif

5.7 KB

prev_cmp_vga256.eda.qmsg

2.3 KB

vga256.eda.qmsg

2.3 KB

vga256.lpc.txt

2.0 KB

vga256.asm.qmsg

2.0 KB

prev_cmp_vga256.asm.qmsg

2.0 KB

vga256.(0).cnf.hdb

1.9 KB

vga256.rtlv_sg_swap.cdb

1.4 KB

vga256.(3).cnf.cdb

1.3 KB

vga256.lpc.html

1.2 KB

vga256.(2).cnf.cdb

1.2 KB

vga256.(1).cnf.cdb

1.1 KB

vga256.(2).cnf.hdb

0.7 KB

vga256.map_bb.cdb

0.7 KB

vga256.(3).cnf.hdb

0.6 KB

vga256.cmp.bpm

0.6 KB

vga256.map.bpm

0.6 KB

vga256.(1).cnf.hdb

0.5 KB

vga256.lpc.rdb

0.5 KB

vga256.cmp_merge.kpt

0.3 KB

vga256.cmp.kpt

0.3 KB

vga256.cbx.xml

0.2 KB

vga256.tis_db_list.ddb

0.2 KB

vga256.eco.cdb

0.2 KB

vga256.sld_design_entry.sci

0.2 KB

vga256.sld_design_entry_dsc.sci

0.2 KB

vga256.db_info

0.1 KB

vga256.map.ecobp

0.0 KB

vga256.cmp.ecobp

0.0 KB

vga256.cmp.logdb

0.0 KB

vga256.map.logdb

0.0 KB

vga256.map_bb.logdb

0.0 KB

vga256.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex6/ex11_m4kvgachar/incremental_db/compiled_partitions/

vga256.root_partition.cmp.atm

22.7 KB

vga256.root_partition.map.kpt

22.0 KB

vga256.root_partition.map.atm

19.5 KB

vga256.root_partition.cmp.rcf

6.6 KB

vga256.root_partition.cmp.hdbx

5.2 KB

vga256.root_partition.map.hdbx

5.1 KB

vga256.root_partition.map.dpi

2.2 KB

vga256.root_partition.cmp.kpt

0.3 KB

vga256.root_partition.cmp.dfp

0.0 KB

vga256.root_partition.cmp.logdb

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex6/ex11_m4kvgachar/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex6/ex11_m4kvgachar/simulation/modelsim/

vga256.vo

117.1 KB

vga256_v.sdo

82.3 KB

vga256_modelsim.xrf

7.2 KB

vga256.sft

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex6/ex11_m4kvgachar/

vga256.pof

131.3 KB

vga_rom_wave0.jpg

105.2 KB

vga256.fit.rpt

91.9 KB

vga256.tan.rpt

78.8 KB

vga256.sof

74.1 KB

vga256.vPreview

46.8 KB

vga256_assignment_defaults.qdf

42.5 KB

vga256.map.rpt

37.1 KB

vga256.pin

20.3 KB

vga256.flow.rpt

9.5 KB

vga256.asm.rpt

8.4 KB

vga_char.v

6.4 KB

vga_char.v.bak

6.3 KB

vga256.eda.rpt

6.0 KB

vga_rom.tdf

5.5 KB

vga256.v.bak

4.5 KB

vga256.qsf

3.7 KB

vga_rom.mif

1.5 KB

vga_rom_waveforms.html

1.0 KB

vga256.tan.summary

1.0 KB

vga256.qpf

0.9 KB

vga_rom.inc

0.9 KB

vga_rom.rar

0.8 KB

vga256.qws

0.5 KB

vga256.fit.smsg

0.4 KB

vga256.fit.summary

0.4 KB

vga256.cdf

0.4 KB

vga256.map.summary

0.3 KB

vga_rom.qip

0.3 KB

vga256.dpf

0.2 KB

vga256.done

0.0 KB

vga256_description.txt

0.0 KB

/.../ex9_cof_M4K_test1/db/

mem_cof_global_asgn_op.abo

108.5 KB

mem_cof.fit.qmsg

52.7 KB

mem_cof.tan.qmsg

38.5 KB

prev_cmp_mem_cof.qmsg

38.3 KB

prev_cmp_mem_cof.fit.qmsg

37.7 KB

prev_cmp_mem_cof.tan.qmsg

37.5 KB

mem_cof.cmp0.ddb

25.9 KB

mem_cof.cmp.rdb

22.9 KB

mem_cof.sgdiff.hdb

12.4 KB

mem_cof.pre_map.hdb

12.4 KB

mem_cof.rtlv.hdb

12.3 KB

mem_cof.cmp.hdb

10.3 KB

mem_cof.map.hdb

10.3 KB

mem_cof.cmp.tdb

10.0 KB

mem_cof.map.qmsg

9.7 KB

altsyncram_oaa1.tdf

9.5 KB

mem_cof.hier_info

9.4 KB

prev_cmp_mem_cof.map.qmsg

9.4 KB

mem_cof.cmp.cdb

9.1 KB

mem_cof.map_bb.hdb

7.8 KB

mem_cof.hif

6.1 KB

mem_cof.rtlv_sg.cdb

4.7 KB

mem_cof.pre_map.cdb

2.5 KB

mem_cof.map.cdb

2.4 KB

mem_cof.eda.qmsg

2.4 KB

prev_cmp_mem_cof.eda.qmsg

2.3 KB

mem_cof.asm.qmsg

2.0 KB

prev_cmp_mem_cof.asm.qmsg

2.0 KB

mem_cof.lpc.txt

2.0 KB

mem_cof.rtlv_sg_swap.cdb

1.9 KB

mem_cof.(1).cnf.cdb

1.7 KB

mem_cof.(3).cnf.cdb

1.5 KB

mem_cof.sgdiff.cdb

1.4 KB

mem_cof.(2).cnf.cdb

1.3 KB

mem_cof.(0).cnf.cdb

1.3 KB

mem_cof.lpc.html

1.2 KB

mem_cof.(1).cnf.hdb

1.1 KB

mem_cof.(0).cnf.hdb

0.9 KB

mem_cof.map_bb.cdb

0.8 KB

mem_cof.cmp.bpm

0.8 KB

mem_cof.(2).cnf.hdb

0.8 KB

mem_cof.map.bpm

0.8 KB

mem_cof.(3).cnf.hdb

0.7 KB

mem_cof.lpc.rdb

0.5 KB

mem_cof.cmp_merge.kpt

0.3 KB

mem_cof.map.kpt

0.3 KB

mem_cof.cmp.kpt

0.3 KB

mem_cof.cbx.xml

0.2 KB

mem_cof.tis_db_list.ddb

0.2 KB

mem_cof.eco.cdb

0.2 KB

mem_cof.sld_design_entry.sci

0.2 KB

mem_cof.sld_design_entry_dsc.sci

0.2 KB

mem_cof.db_info

0.1 KB

mem_cof.map.ecobp

0.0 KB

mem_cof.cmp.ecobp

0.0 KB

mem_cof.map.logdb

0.0 KB

mem_cof.cmp.logdb

0.0 KB

mem_cof.map_bb.logdb

0.0 KB

mem_cof.syn_hier_info

0.0 KB

/.../ex9_cof_M4K_test1/incremental_db/compiled_partitions/

mem_cof.root_partition.cmp.atm

17.4 KB

mem_cof.root_partition.map.atm

13.3 KB

mem_cof.root_partition.map.hdbx

4.6 KB

mem_cof.root_partition.cmp.hdbx

4.0 KB

mem_cof.root_partition.cmp.rcf

3.5 KB

mem_cof.root_partition.map.dpi

2.1 KB

mem_cof.root_partition.cmp.kpt

0.3 KB

mem_cof.root_partition.map.kpt

0.3 KB

mem_cof.root_partition.cmp.dfp

0.0 KB

mem_cof.root_partition.cmp.logdb

0.0 KB

/.../ex9_cof_M4K_test1/incremental_db/

README

0.7 KB

/.../ex9_cof_M4K_test1/simulation/modelsim/

altera_mf.v

2.3 MB

cyclone_atoms.v

244.8 KB

mem_cof.vo

60.3 KB

mem_cof_v.sdo

35.0 KB

mem_cof_modelsim.xrf

4.5 KB

tb_m4kram.v

1.4 KB

tb_m4kram.v.bak

1.4 KB

mem_cof.sft

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/

verilog.asm

190.2 KB

_primary.dat

19.9 KB

_primary.vhd

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/

verilog.asm

14.6 KB

_primary.dat

1.8 KB

_primary.vhd

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/

verilog.asm

200.5 KB

_primary.dat

19.0 KB

_primary.vhd

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/

verilog.asm

1.5 KB

_primary.dat

1.3 KB

_primary.vhd

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@m@f_cycloneiii_pll/

verilog.asm

650.9 KB

_primary.dat

65.7 KB

_primary.vhd

7.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@m@f_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@m@f_stratixiii_pll/

verilog.asm

843.2 KB

_primary.dat

82.3 KB

_primary.vhd

11.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@m@f_stratixii_pll/

verilog.asm

749.2 KB

_primary.dat

74.1 KB

_primary.vhd

7.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/@m@f_stratix_pll/

verilog.asm

754.1 KB

_primary.dat

80.1 KB

_primary.vhd

9.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/alt3pram/

verilog.asm

133.3 KB

_primary.dat

10.6 KB

_primary.vhd

1.9 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altaccumulate/

verilog.asm

51.7 KB

_primary.dat

3.8 KB

_primary.vhd

0.9 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altcam/

verilog.asm

538.9 KB

_primary.dat

47.2 KB

_primary.vhd

1.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altclklock/

verilog.asm

141.1 KB

_primary.dat

14.4 KB

_primary.vhd

1.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altddio_bidir/

verilog.asm

14.1 KB

_primary.dat

1.8 KB

_primary.vhd

1.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altddio_in/

verilog.asm

34.0 KB

_primary.dat

3.4 KB

_primary.vhd

0.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altddio_out/

verilog.asm

35.3 KB

_primary.dat

3.4 KB

_primary.vhd

1.0 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altdpram/

verilog.asm

151.0 KB

_primary.dat

11.0 KB

_primary.vhd

1.9 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altdq_dqs/

verilog.asm

930.8 KB

_primary.dat

80.4 KB

_primary.vhd

9.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altfp_mult/

verilog.asm

136.9 KB

_primary.dat

11.5 KB

_primary.vhd

1.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altlvds_rx/

verilog.asm

478.6 KB

_primary.dat

34.4 KB

_primary.vhd

3.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altlvds_tx/

verilog.asm

463.3 KB

_primary.dat

32.5 KB

_primary.vhd

1.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altmult_accum/

verilog.asm

592.8 KB

_primary.dat

37.1 KB

_primary.vhd

4.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altmult_add/

verilog.asm

2.0 MB

_primary.dat

125.0 KB

_primary.vhd

10.4 KB

/.../altparallel_flash_loader/

verilog.asm

13.9 KB

_primary.vhd

2.2 KB

_primary.dat

1.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altpll/

verilog.asm

465.8 KB

_primary.dat

41.5 KB

_primary.vhd

18.0 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altqpram/

verilog.asm

239.9 KB

_primary.dat

17.8 KB

_primary.vhd

3.0 KB

/.../altserial_flash_loader/

verilog.asm

3.9 KB

_primary.vhd

0.6 KB

_primary.dat

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altshift_taps/

verilog.asm

23.3 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altsource_probe/

verilog.asm

11.2 KB

_primary.vhd

1.5 KB

_primary.dat

1.0 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altsqrt/

verilog.asm

33.1 KB

_primary.dat

2.7 KB

_primary.vhd

0.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altsquare/

verilog.asm

24.9 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altstratixii_oct/

verilog.asm

2.4 KB

_primary.vhd

0.4 KB

_primary.dat

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/altsyncram/

verilog.asm

645.0 KB

_primary.dat

49.7 KB

_primary.vhd

3.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/arm_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/arm_n_cntr/

verilog.asm

8.7 KB

_primary.dat

1.0 KB

_primary.vhd

0.3 KB

/.../arm_scale_cntr/

verilog.asm

12.6 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/a_graycounter/

verilog.asm

10.6 KB

_primary.dat

0.9 KB

_primary.vhd

0.7 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cda_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cda_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../cda_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_and1/

verilog.asm

2.2 KB

_primary.dat

0.2 KB

_primary.vhd

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_and16/

verilog.asm

5.7 KB

_primary.dat

0.8 KB

_primary.vhd

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_asmiblock/

verilog.asm

2.6 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../cyclone_asynch_io/

verilog.asm

21.2 KB

_primary.dat

2.3 KB

_primary.vhd

0.5 KB

/.../cyclone_asynch_lcell/

verilog.asm

72.8 KB

_primary.dat

6.0 KB

_primary.vhd

1.0 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_b17mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_b5mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_bmux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_crcblock/

verilog.asm

2.9 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_dffe/

verilog.asm

10.0 KB

_primary.dat

1.0 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_dll/

verilog.asm

46.6 KB

_primary.dat

4.7 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_io/

verilog.asm

45.9 KB

_primary.dat

3.9 KB

_primary.vhd

1.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_jtag/

verilog.asm

5.5 KB

_primary.vhd

0.8 KB

_primary.dat

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_latch/

verilog.asm

8.3 KB

_primary.dat

0.9 KB

_primary.vhd

0.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_lcell/

verilog.asm

13.6 KB

_primary.dat

1.7 KB

_primary.vhd

1.6 KB

/.../cyclone_lcell_register/

verilog.asm

26.8 KB

_primary.dat

3.1 KB

_primary.vhd

0.9 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_mux21/

verilog.asm

5.7 KB

_primary.dat

0.5 KB

_primary.vhd

0.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_mux41/

verilog.asm

8.3 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_nmux21/

verilog.asm

2.8 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/cyclone_pll/

verilog.asm

754.5 KB

_primary.dat

80.2 KB

_primary.vhd

9.7 KB

/.../cyclone_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_block/

verilog.asm

201.5 KB

_primary.dat

15.6 KB

_primary.vhd

3.5 KB

/.../cyclone_ram_pulse_generator/

verilog.asm

6.4 KB

_primary.dat

0.7 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_register/

verilog.asm

14.4 KB

_primary.dat

1.4 KB

_primary.vhd

0.6 KB

/.../cyclone_routing_wire/

verilog.asm

3.0 KB

_primary.dat

0.3 KB

_primary.vhd

0.2 KB

/.../cyclone_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dcfifo/

verilog.asm

18.9 KB

_primary.dat

2.1 KB

_primary.vhd

1.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dcfifo_async/

verilog.asm

96.4 KB

_primary.dat

8.3 KB

_primary.vhd

1.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dcfifo_dffpipe/

verilog.asm

12.8 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dcfifo_fefifo/

verilog.asm

22.0 KB

_primary.dat

2.1 KB

_primary.vhd

0.6 KB

/.../dcfifo_low_latency/

verilog.asm

144.7 KB

_primary.dat

10.2 KB

_primary.vhd

1.5 KB

/.../dcfifo_mixed_widths/

verilog.asm

195.2 KB

_primary.dat

10.8 KB

_primary.vhd

1.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dcfifo_sync/

verilog.asm

76.9 KB

_primary.dat

5.5 KB

_primary.vhd

1.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dffp/

verilog.asm

4.0 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/dummy_hub/

verilog.asm

57.1 KB

_primary.dat

4.0 KB

_primary.vhd

2.4 KB

/.../flexible_lvds_rx/

verilog.asm

127.5 KB

_primary.dat

8.6 KB

_primary.vhd

1.0 KB

/.../flexible_lvds_tx/

verilog.asm

160.5 KB

_primary.dat

10.5 KB

_primary.vhd

0.9 KB

/.../jtag_tap_controller/

verilog.asm

39.0 KB

_primary.dat

5.4 KB

_primary.vhd

1.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/lcell/

verilog.asm

1.5 KB

_primary.vhd

0.2 KB

_primary.dat

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/mem_cof/

verilog.asm

132.2 KB

_primary.dat

27.3 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/parallel_add/

verilog.asm

50.8 KB

_primary.dat

2.9 KB

_primary.vhd

0.8 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/pll_iobuf/

verilog.asm

3.4 KB

_primary.vhd

0.3 KB

_primary.dat

0.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/scfifo/

verilog.asm

141.9 KB

_primary.dat

12.8 KB

_primary.vhd

1.3 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/signal_gen/

verilog.asm

94.1 KB

_primary.dat

9.8 KB

_primary.vhd

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/sld_signaltap/

verilog.asm

22.5 KB

_primary.vhd

3.6 KB

_primary.dat

2.9 KB

/.../sld_virtual_jtag/

verilog.asm

20.7 KB

_primary.dat

2.6 KB

_primary.vhd

1.8 KB

/.../stratixgx_dpa_lvds_rx/

verilog.asm

117.0 KB

_primary.dat

8.5 KB

_primary.vhd

0.8 KB

/.../stratixiii_lvds_rx/

verilog.asm

264.5 KB

_primary.dat

33.7 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_channel/

verilog.asm

62.3 KB

_primary.dat

6.8 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_dpa/

verilog.asm

43.4 KB

_primary.dat

4.1 KB

_primary.vhd

0.9 KB

/.../stratixii_lvds_rx/

verilog.asm

80.9 KB

_primary.dat

6.6 KB

_primary.vhd

1.2 KB

/.../stratixii_tx_outclk/

verilog.asm

14.9 KB

_primary.dat

1.2 KB

_primary.vhd

0.5 KB

/.../stratix_lvds_rx/

verilog.asm

17.7 KB

_primary.dat

1.3 KB

_primary.vhd

0.4 KB

/.../stratix_tx_outclk/

verilog.asm

15.9 KB

_primary.dat

1.3 KB

_primary.vhd

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/stx_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/stx_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../stx_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/tb_m4kram/

verilog.asm

9.1 KB

_primary.dat

1.2 KB

_primary.vhd

0.1 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/ttn_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/ttn_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../ttn_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/cyclone/

_info

28.4 KB

/.../ex9_cof_M4K_test1/simulation/sim_prj/

altera_mf.v

2.3 MB

cyclone_atoms.v

244.8 KB

mem_cof.vo.bak

60.3 KB

mem_cof.vo

60.3 KB

mem_cof_v.sdo

35.0 KB

vsim.wlf

16.4 KB

sim_prj.mpf

14.7 KB

sim_prj.cr.mti

5.4 KB

mem_cof_modelsim.xrf

4.3 KB

tb_m4kram.v

1.4 KB

tb_m4kram.v.bak

1.4 KB

mem_cof.sft

0.1 KB

/.../ex9_cof_M4K_test1/

sys_ram_wave1.jpg

131.6 KB

mem_cof.pof

131.3 KB

mem_cof.fit.rpt

107.8 KB

sys_ram_wave0.jpg

105.7 KB

mem_cof.tan.rpt

104.5 KB

mem_cof.sof

74.1 KB

UNUSED

65.7 KB

mem_cof_assignment_defaults.qdf

42.5 KB

mem_cof.map.rpt

33.0 KB

mem_cof.pin

20.3 KB

mem_cof.asm.rpt

9.2 KB

mem_cof.flow.rpt

8.0 KB

sys_ram.v

7.0 KB

mem_cof.eda.rpt

6.2 KB

sys_ram_bb.v

5.6 KB

mem_cof.qsf

2.5 KB

mem_cof.tan.summary

1.9 KB

ram_ctrl.v.bak

1.8 KB

sys_ram_waveforms.html

1.5 KB

mem_cof.v

1.1 KB

mem_cof.v.bak

1.0 KB

mem_cof.qpf

0.9 KB

mem_cof.qws

0.5 KB

mem_cof.fit.summary

0.4 KB

mem_cof.fit.smsg

0.4 KB

mem_cof.map.summary

0.3 KB

sys_ram.qip

0.3 KB

mem_cof.done

0.0 KB

/.../ex10_cof_M4K_test2/db/

mem_cof_global_asgn_op.abo

199.9 KB

altsyncram_ht91.tdf

64.9 KB

altsyncram_ot91.tdf

64.9 KB

mem_cof.fit.qmsg

60.7 KB

prev_cmp_mem_cof.fit.qmsg

46.0 KB

mem_cof.tan.qmsg

41.8 KB

prev_cmp_mem_cof.tan.qmsg

41.2 KB

altsyncram_et91.tdf

34.4 KB

mem_cof.cmp0.ddb

24.4 KB

mem_cof.cmp.rdb

21.4 KB

mem_cof.hier_info

17.0 KB

mem_cof.sgdiff.hdb

14.1 KB

mem_cof.pre_map.hdb

14.0 KB

mem_cof.rtlv.hdb

14.0 KB

mem_cof.map.hdb

11.4 KB

mem_cof.cmp.hdb

11.0 KB

mem_cof.map.qmsg

9.9 KB

altsyncram_oaa1.tdf

9.5 KB

mem_cof.cmp.tdb

8.3 KB

mem_cof.map_bb.hdb

8.0 KB

mem_cof.cmp.cdb

7.6 KB

mem_cof.rtlv_sg.cdb

6.0 KB

mem_cof.hif

5.1 KB

prev_cmp_mem_cof.qmsg

4.5 KB

prev_cmp_mem_cof.map.qmsg

4.2 KB

mem_cof.pre_map.cdb

3.7 KB

mem_cof.map.cdb

3.2 KB

mem_cof.lpc.txt

2.6 KB

shift_taps_9sr.tdf

2.6 KB

cntr_0df.tdf

2.6 KB

shift_taps_8rr.tdf

2.5 KB

shift_taps_isr.tdf

2.5 KB

shift_taps_nsr.tdf

2.5 KB

mem_cof.sgdiff.cdb

2.5 KB

mem_cof.eda.qmsg

2.4 KB

prev_cmp_mem_cof.eda.qmsg

2.3 KB

mem_cof.lpc.html

2.1 KB

mem_cof.(4).cnf.cdb

2.0 KB

mem_cof.asm.qmsg

2.0 KB

prev_cmp_mem_cof.asm.qmsg

2.0 KB

mem_cof.rtlv_sg_swap.cdb

1.9 KB

mem_cof.(1).cnf.cdb

1.5 KB

mem_cof.(3).cnf.cdb

1.5 KB

mem_cof.(0).cnf.cdb

1.4 KB

mem_cof.(1).cnf.hdb

1.3 KB

mem_cof.(2).cnf.cdb

1.2 KB

mem_cof.map.kpt

1.1 KB

mem_cof.(0).cnf.hdb

1.0 KB

mem_cof.(5).cnf.cdb

0.9 KB

mem_cof.(4).cnf.hdb

0.9 KB

mem_cof.cmp.bpm

0.8 KB

mem_cof.map_bb.cdb

0.8 KB

mem_cof.map.bpm

0.8 KB

mem_cof.(5).cnf.hdb

0.6 KB

mem_cof.(3).cnf.hdb

0.6 KB

mem_cof.(2).cnf.hdb

0.5 KB

mem_cof.lpc.rdb

0.5 KB

mem_cof.cmp_merge.kpt

0.3 KB

mem_cof.cmp.kpt

0.3 KB

mem_cof.cbx.xml

0.2 KB

mem_cof.tis_db_list.ddb

0.2 KB

mem_cof.eco.cdb

0.2 KB

mem_cof.sld_design_entry_dsc.sci

0.2 KB

mem_cof.sld_design_entry.sci

0.2 KB

mem_cof.db_info

0.1 KB

mem_cof.cmp.ecobp

0.0 KB

mem_cof.map.ecobp

0.0 KB

mem_cof.map.logdb

0.0 KB

mem_cof.cmp.logdb

0.0 KB

mem_cof.map_bb.logdb

0.0 KB

mem_cof.syn_hier_info

0.0 KB

/.../ex10_cof_M4K_test2/incremental_db/compiled_partitions/

mem_cof.root_partition.cmp.atm

18.1 KB

mem_cof.root_partition.map.atm

15.9 KB

mem_cof.root_partition.map.hdbx

5.7 KB

mem_cof.root_partition.cmp.hdbx

4.9 KB

mem_cof.root_partition.cmp.rcf

3.0 KB

mem_cof.root_partition.map.dpi

1.6 KB

mem_cof.root_partition.map.kpt

1.1 KB

mem_cof.root_partition.cmp.kpt

0.3 KB

mem_cof.root_partition.cmp.dfp

0.0 KB

mem_cof.root_partition.cmp.logdb

0.0 KB

/.../ex10_cof_M4K_test2/incremental_db/

README

0.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/

verilog.asm

190.2 KB

_primary.dat

19.9 KB

_primary.vhd

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/

verilog.asm

14.6 KB

_primary.dat

1.8 KB

_primary.vhd

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/

verilog.asm

200.5 KB

_primary.dat

19.0 KB

_primary.vhd

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/

verilog.asm

1.5 KB

_primary.dat

1.3 KB

_primary.vhd

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@m@f_cycloneiii_pll/

verilog.asm

650.9 KB

_primary.dat

65.7 KB

_primary.vhd

7.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@m@f_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@m@f_stratixiii_pll/

verilog.asm

843.2 KB

_primary.dat

82.3 KB

_primary.vhd

11.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@m@f_stratixii_pll/

verilog.asm

749.2 KB

_primary.dat

74.1 KB

_primary.vhd

7.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/@m@f_stratix_pll/

verilog.asm

754.1 KB

_primary.dat

80.1 KB

_primary.vhd

9.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/alt3pram/

verilog.asm

133.3 KB

_primary.dat

10.6 KB

_primary.vhd

1.9 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altaccumulate/

verilog.asm

51.7 KB

_primary.dat

3.8 KB

_primary.vhd

0.9 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altcam/

verilog.asm

538.9 KB

_primary.dat

47.2 KB

_primary.vhd

1.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altclklock/

verilog.asm

141.1 KB

_primary.dat

14.4 KB

_primary.vhd

1.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altddio_bidir/

verilog.asm

14.1 KB

_primary.dat

1.8 KB

_primary.vhd

1.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altddio_in/

verilog.asm

34.0 KB

_primary.dat

3.4 KB

_primary.vhd

0.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altddio_out/

verilog.asm

35.3 KB

_primary.dat

3.4 KB

_primary.vhd

1.0 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altdpram/

verilog.asm

151.0 KB

_primary.dat

11.0 KB

_primary.vhd

1.9 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altdq_dqs/

verilog.asm

930.8 KB

_primary.dat

80.4 KB

_primary.vhd

9.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altfp_mult/

verilog.asm

136.9 KB

_primary.dat

11.5 KB

_primary.vhd

1.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altlvds_rx/

verilog.asm

478.6 KB

_primary.dat

34.4 KB

_primary.vhd

3.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altlvds_tx/

verilog.asm

463.3 KB

_primary.dat

32.5 KB

_primary.vhd

1.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altmult_accum/

verilog.asm

592.8 KB

_primary.dat

37.1 KB

_primary.vhd

4.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altmult_add/

verilog.asm

2.0 MB

_primary.dat

125.0 KB

_primary.vhd

10.4 KB

/.../altparallel_flash_loader/

verilog.asm

13.9 KB

_primary.vhd

2.2 KB

_primary.dat

1.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altpll/

verilog.asm

465.8 KB

_primary.dat

41.5 KB

_primary.vhd

18.0 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altqpram/

verilog.asm

239.9 KB

_primary.dat

17.8 KB

_primary.vhd

3.0 KB

/.../altserial_flash_loader/

verilog.asm

3.9 KB

_primary.vhd

0.6 KB

_primary.dat

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altshift_taps/

verilog.asm

23.3 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altsource_probe/

verilog.asm

11.2 KB

_primary.vhd

1.5 KB

_primary.dat

1.0 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altsqrt/

verilog.asm

33.1 KB

_primary.dat

2.7 KB

_primary.vhd

0.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altsquare/

verilog.asm

24.9 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altstratixii_oct/

verilog.asm

2.4 KB

_primary.vhd

0.4 KB

_primary.dat

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/altsyncram/

verilog.asm

645.0 KB

_primary.dat

49.7 KB

_primary.vhd

3.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/arm_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/arm_n_cntr/

verilog.asm

8.7 KB

_primary.dat

1.0 KB

_primary.vhd

0.3 KB

/.../arm_scale_cntr/

verilog.asm

12.6 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/a_graycounter/

verilog.asm

10.6 KB

_primary.dat

0.9 KB

_primary.vhd

0.7 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cda_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cda_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../cda_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_and1/

verilog.asm

2.2 KB

_primary.dat

0.2 KB

_primary.vhd

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_and16/

verilog.asm

5.7 KB

_primary.dat

0.8 KB

_primary.vhd

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_asmiblock/

verilog.asm

2.6 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../cyclone_asynch_io/

verilog.asm

21.2 KB

_primary.dat

2.3 KB

_primary.vhd

0.5 KB

/.../cyclone_asynch_lcell/

verilog.asm

72.8 KB

_primary.dat

6.0 KB

_primary.vhd

1.0 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_b17mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_b5mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_bmux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_crcblock/

verilog.asm

2.9 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_dffe/

verilog.asm

10.0 KB

_primary.dat

1.0 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_dll/

verilog.asm

46.6 KB

_primary.dat

4.7 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_io/

verilog.asm

45.9 KB

_primary.dat

3.9 KB

_primary.vhd

1.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_jtag/

verilog.asm

5.5 KB

_primary.vhd

0.8 KB

_primary.dat

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_latch/

verilog.asm

8.3 KB

_primary.dat

0.9 KB

_primary.vhd

0.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_lcell/

verilog.asm

13.6 KB

_primary.dat

1.7 KB

_primary.vhd

1.6 KB

/.../cyclone_lcell_register/

verilog.asm

26.8 KB

_primary.dat

3.1 KB

_primary.vhd

0.9 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_mux21/

verilog.asm

5.7 KB

_primary.dat

0.5 KB

_primary.vhd

0.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_mux41/

verilog.asm

8.3 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_nmux21/

verilog.asm

2.8 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/cyclone_pll/

verilog.asm

754.5 KB

_primary.dat

80.2 KB

_primary.vhd

9.7 KB

/.../cyclone_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_block/

verilog.asm

201.5 KB

_primary.dat

15.6 KB

_primary.vhd

3.5 KB

/.../cyclone_ram_pulse_generator/

verilog.asm

6.4 KB

_primary.dat

0.7 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_register/

verilog.asm

14.4 KB

_primary.dat

1.4 KB

_primary.vhd

0.6 KB

/.../cyclone_routing_wire/

verilog.asm

3.0 KB

_primary.dat

0.3 KB

_primary.vhd

0.2 KB

/.../cyclone_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dcfifo/

verilog.asm

18.9 KB

_primary.dat

2.1 KB

_primary.vhd

1.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dcfifo_async/

verilog.asm

96.4 KB

_primary.dat

8.3 KB

_primary.vhd

1.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dcfifo_dffpipe/

verilog.asm

12.8 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dcfifo_fefifo/

verilog.asm

22.0 KB

_primary.dat

2.1 KB

_primary.vhd

0.6 KB

/.../dcfifo_low_latency/

verilog.asm

144.7 KB

_primary.dat

10.2 KB

_primary.vhd

1.5 KB

/.../dcfifo_mixed_widths/

verilog.asm

195.2 KB

_primary.dat

10.8 KB

_primary.vhd

1.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dcfifo_sync/

verilog.asm

76.9 KB

_primary.dat

5.5 KB

_primary.vhd

1.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dffp/

verilog.asm

4.0 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/dummy_hub/

verilog.asm

57.1 KB

_primary.dat

4.0 KB

_primary.vhd

2.4 KB

/.../flexible_lvds_rx/

verilog.asm

127.5 KB

_primary.dat

8.6 KB

_primary.vhd

1.0 KB

/.../flexible_lvds_tx/

verilog.asm

160.5 KB

_primary.dat

10.5 KB

_primary.vhd

0.9 KB

/.../jtag_tap_controller/

verilog.asm

39.0 KB

_primary.dat

5.4 KB

_primary.vhd

1.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/lcell/

verilog.asm

1.5 KB

_primary.vhd

0.2 KB

_primary.dat

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/mem_cof/

verilog.asm

133.7 KB

_primary.dat

27.9 KB

_primary.vhd

0.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/parallel_add/

verilog.asm

50.8 KB

_primary.dat

2.9 KB

_primary.vhd

0.8 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/pll_iobuf/

verilog.asm

3.4 KB

_primary.vhd

0.3 KB

_primary.dat

0.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/scfifo/

verilog.asm

141.9 KB

_primary.dat

12.8 KB

_primary.vhd

1.3 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/signal_gen/

verilog.asm

94.1 KB

_primary.dat

9.8 KB

_primary.vhd

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/sld_signaltap/

verilog.asm

22.5 KB

_primary.vhd

3.6 KB

_primary.dat

2.9 KB

/.../sld_virtual_jtag/

verilog.asm

20.7 KB

_primary.dat

2.6 KB

_primary.vhd

1.8 KB

/.../stratixgx_dpa_lvds_rx/

verilog.asm

117.0 KB

_primary.dat

8.5 KB

_primary.vhd

0.8 KB

/.../stratixiii_lvds_rx/

verilog.asm

264.5 KB

_primary.dat

33.7 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_channel/

verilog.asm

62.3 KB

_primary.dat

6.8 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_dpa/

verilog.asm

43.4 KB

_primary.dat

4.1 KB

_primary.vhd

0.9 KB

/.../stratixii_lvds_rx/

verilog.asm

80.9 KB

_primary.dat

6.6 KB

_primary.vhd

1.2 KB

/.../stratixii_tx_outclk/

verilog.asm

14.9 KB

_primary.dat

1.2 KB

_primary.vhd

0.5 KB

/.../stratix_lvds_rx/

verilog.asm

17.7 KB

_primary.dat

1.3 KB

_primary.vhd

0.4 KB

/.../stratix_tx_outclk/

verilog.asm

15.9 KB

_primary.dat

1.3 KB

_primary.vhd

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/stx_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/stx_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../stx_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/tb_m4kram/

verilog.asm

7.8 KB

_primary.dat

1.1 KB

_primary.vhd

0.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/ttn_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/ttn_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../ttn_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/cyclone/

_info

30.1 KB

/.../ex10_cof_M4K_test2/simulation/modelsim/

altera_mf.v

2.3 MB

cyclone_atoms.v

244.8 KB

mem_cof.vo

61.1 KB

mem_cof_v.sdo

19.5 KB

vsim.wlf

16.4 KB

sim_pjf_shift.mpf

14.7 KB

sim_pjf_shift.cr.mti

5.6 KB

mem_cof_modelsim.xrf

4.1 KB

tb_m4kram.v

1.1 KB

tb_m4kram.v.bak

0.9 KB

mem_cof.sft

0.1 KB

/.../ex10_cof_M4K_test2/

shift_ram_wave1.jpg

280.7 KB

shift_ram_wave0.jpg

267.2 KB

mem_cof.pof

131.3 KB

mem_cof.fit.rpt

112.6 KB

mem_cof.tan.rpt

108.3 KB

mem_cof.sof

74.1 KB

UNUSED

65.7 KB

mem_cof_assignment_defaults.qdf

42.5 KB

mem_cof.map.rpt

32.6 KB

mem_cof.pin

20.3 KB

mem_cof.asm.rpt

9.2 KB

mem_cof.flow.rpt

8.1 KB

shift_ram.v

6.6 KB

mem_cof.eda.rpt

6.3 KB

shift_ram_bb.v

5.0 KB

shift_ram.bsf

4.3 KB

mem_cof.qsf

2.6 KB

mem_cof.tan.summary

2.1 KB

mem_cof.v

1.5 KB

mem_cof.v.bak

1.5 KB

mem_cof.qpf

0.9 KB

shift_ram_waveforms.html

0.8 KB

mem_cof.qws

0.5 KB

mem_cof.fit.smsg

0.4 KB

mem_cof.fit.summary

0.4 KB

shift_ram.qip

0.4 KB

mem_cof.map.summary

0.3 KB

sys_ram.qip

0.3 KB

mem_cof.done

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/reference_verilog/

SDRSD50_071010.v

87.8 KB

README.v

1.4 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/sdram_mdl/db/

sdr_test_global_asgn_op.abo

1.3 MB

sdr_test.map.kpt

238.1 KB

sdr_test.cmp.cdb

114.4 KB

prev_cmp_sdr_test.qmsg

108.5 KB

sdr_test.sta_cmp.8_slow.tdb

105.6 KB

sdr_test.cmp0.ddb

103.3 KB

sdr_test.hier_info

95.0 KB

sdr_test.pre_map.cdb

75.0 KB

prev_cmp_sdr_test.fit.qmsg

68.0 KB

sdr_test.fit.qmsg

68.0 KB

sdr_test.sgate.rvd

67.4 KB

sdr_test.rtlv_sg.cdb

58.9 KB

sdr_test.sgdiff.hdb

49.3 KB

sdr_test.map.qmsg

44.1 KB

prev_cmp_sdr_test.map.qmsg

44.1 KB

sdr_test.pre_map.hdb

43.0 KB

sdr_test.rtlv.hdb

42.2 KB

sdr_test.hif

39.3 KB

sdr_test.map.cdb

37.1 KB

sdr_test.sgdiff.cdb

36.7 KB

sdr_test.map.hdb

34.0 KB

sdr_test.cmp.hdb

33.7 KB

sdr_test.cmp.rdb

28.6 KB

altsyncram_1lh1.tdf

20.9 KB

sdr_test.(5).cnf.cdb

14.8 KB

sdr_test.map_bb.hdb

12.9 KB

sdr_test.lpc.html

12.0 KB

sdr_test.sgate_sm.rvd

10.7 KB

prev_cmp_sdr_test.sta.qmsg

10.4 KB

sdr_test.sta.qmsg

10.4 KB

sdr_test.lpc.txt

9.6 KB

sdr_test.rtlv_sg_swap.cdb

9.3 KB

dcfifo_35l1.tdf

7.5 KB

sdr_test.sta.rdb

7.2 KB

sdr_test.(19).cnf.cdb

7.1 KB

sdr_test.(12).cnf.cdb

6.7 KB

alt_sync_fifo_0fm.tdf

5.2 KB

a_fefifo_ctc.tdf

5.1 KB

alt_sync_fifo_0oi.tdf

5.1 KB

sdr_test.(6).cnf.cdb

4.8 KB

cntr_kua.tdf

4.8 KB

cntr_cta.tdf

4.5 KB

sdr_test.(0).cnf.cdb

4.0 KB

sdr_test.(7).cnf.cdb

3.9 KB

sdr_test.(4).cnf.cdb

3.4 KB

a_fefifo_htc.tdf

3.4 KB

add_sub_918.tdf

3.1 KB

add_sub_se8.tdf

3.0 KB

add_sub_gub.tdf

3.0 KB

sdr_test.(8).cnf.cdb

2.9 KB

dcfifo_o2l1.tdf

2.9 KB

a_graycounter_u06.tdf

2.8 KB

sdr_test.smp_dump.txt

2.8 KB

sdr_test.(5).cnf.hdb

2.6 KB

sdr_test.(17).cnf.cdb

2.5 KB

sdr_test.(22).cnf.cdb

2.5 KB

dpram_6o31.tdf

2.4 KB

sdr_test.(21).cnf.cdb

2.4 KB

prev_cmp_sdr_test.eda.qmsg

2.4 KB

sdr_test.eda.qmsg

2.4 KB

sdr_test.(0).cnf.hdb

2.1 KB

alt_synch_pipe_pc8.tdf

2.1 KB

alt_synch_pipe_oc8.tdf

2.1 KB

sdr_test.(14).cnf.cdb

2.0 KB

prev_cmp_sdr_test.asm.qmsg

2.0 KB

sdr_test.asm.qmsg

2.0 KB

sdr_test.(3).cnf.cdb

1.9 KB

dffpipe_jd9.tdf

1.9 KB

dffpipe_id9.tdf

1.9 KB

sdr_test.(2).cnf.cdb

1.9 KB

sdr_test.rpp.qmsg

1.8 KB

sdr_test.(15).cnf.cdb

1.8 KB

sdr_test.(4).cnf.hdb

1.8 KB

sdr_test.(16).cnf.cdb

1.8 KB

sdr_test.(9).cnf.cdb

1.7 KB

sdr_test.(18).cnf.cdb

1.7 KB

sdr_test.(19).cnf.hdb

1.6 KB

a_gray2bin_q4b.tdf

1.6 KB

dffpipe_gd9.tdf

1.6 KB

sdr_test.(6).cnf.hdb

1.6 KB

sdr_test.(8).cnf.hdb

1.5 KB

sdr_test.(10).cnf.cdb

1.5 KB

sdr_test.(7).cnf.hdb

1.4 KB

sdr_test.(12).cnf.hdb

1.4 KB

sdr_test.(1).cnf.cdb

1.3 KB

sdr_test.(13).cnf.cdb

1.3 KB

sdr_test.(11).cnf.cdb

1.3 KB

sdr_test.(20).cnf.cdb

1.3 KB

sdr_test.(2).cnf.hdb

1.3 KB

sdr_test.(18).cnf.hdb

1.1 KB

sdr_test.(9).cnf.hdb

1.1 KB

sdr_test.(3).cnf.hdb

1.0 KB

sdr_test.cmp.bpm

1.0 KB

sdr_test.map_bb.cdb

1.0 KB

sdr_test.(21).cnf.hdb

0.9 KB

sdr_test.map.bpm

0.9 KB

sdr_test.(20).cnf.hdb

0.9 KB

sdr_test.lpc.rdb

0.9 KB

sdr_test.(14).cnf.hdb

0.8 KB

sdr_test.(17).cnf.hdb

0.8 KB

sdr_test.(22).cnf.hdb

0.8 KB

sdr_test.(1).cnf.hdb

0.8 KB

sdr_test.(16).cnf.hdb

0.7 KB

sdr_test.(15).cnf.hdb

0.7 KB

sdr_test.(10).cnf.hdb

0.6 KB

sdr_test.(13).cnf.hdb

0.6 KB

sdr_test.(11).cnf.hdb

0.6 KB

sdr_test.cbx.xml

0.4 KB

sdr_test.cmp_merge.kpt

0.3 KB

sdr_test.cmp.kpt

0.3 KB

sdr_test.tis_db_list.ddb

0.2 KB

sdr_test.eco.cdb

0.2 KB

sdr_test.sld_design_entry_dsc.sci

0.2 KB

sdr_test.sld_design_entry.sci

0.2 KB

sdr_test.db_info

0.1 KB

sdr_test.map.ecobp

0.0 KB

sdr_test.cmp.ecobp

0.0 KB

sdr_test.map.logdb

0.0 KB

sdr_test.cmp.logdb

0.0 KB

sdr_test.map_bb.logdb

0.0 KB

sdr_test.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/sdram_mdl/incremental_db/compiled_partitions/

sdr_test.root_partition.map.kpt

238.1 KB

sdr_test.root_partition.cmp.atm

105.0 KB

sdr_test.root_partition.map.atm

102.7 KB

sdr_test.root_partition.cmp.rcf

34.6 KB

sdr_test.root_partition.merge_hb.atm

30.8 KB

sdr_test.root_partition.map.hdbx

22.5 KB

sdr_test.root_partition.cmp.hdbx

19.7 KB

sdr_test.root_partition.map.dpi

6.2 KB

sdr_test.root_partition.cmp.kpt

0.3 KB

sdr_test.root_partition.cmp.dfp

0.0 KB

sdr_test.root_partition.cmp.logdb

0.0 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/sdram_mdl/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/sdram_mdl/simulation/modelsim/

altera_mf.v

2.3 MB

sdr_test.vo

1.0 MB

sdr_test_v.sdo

488.8 KB

cyclone_atoms.v

244.8 KB

SDRSD50_071010.v

88.0 KB

SDRSD50_071010.v.bak

87.9 KB

sdr_test_modelsim.xrf

73.0 KB

transcript

38.1 KB

sdram_test.mpf

15.5 KB

sdram_test.cr.mti

5.9 KB

tb_sdrtest.v.bak

5.0 KB

tb_sdrtest.v

4.7 KB

tb_sdrtest.rar

2.0 KB

sys_ctrl_task.v

1.4 KB

print_task.v

1.3 KB

write_232rx_file.txt

0.2 KB

sdr_test.sft

0.1 KB

/深入浅出玩转fpga/基于EP1C3的进阶实验/ex9/sdram_mdl/

PLL_ctrl_wave0.jpg

800.8 KB

sdr_test.fit.rpt

155.0 KB

sdr_test.map.rpt

143.1 KB

sdr_test.pof

131.3 KB

sdr_test.jpg

102.9 KB

work_state_r.jpg

102.2 KB

rdfifo_wave0.jpg

98.5 KB

wrfifo_wave0.jpg

98.5 KB

sdr_test.sta.rpt

89.3 KB

sdr_test.sof

74.1 KB

sdram_top_uut_sdramtop.jpg

73.0 KB

init_state_r.jpg

71.5 KB

sdfifo_ctrl_uut_sdffifoctrl.jpg

52.2 KB

sdr_test_assignment_defaults.qdf

42.5 KB

sdr_test.sdc

20.8 KB

sdr_test.sdc.bak

20.6 KB

sdr_test.pin

20.3 KB

PLL_ctrl.v

17.9 KB

PLL_ctrl_bb.v

13.6 KB

sdr_test.flow.rpt

11.6 KB

sdram_ctrl.v.bak

10.5 KB

sdram_ctrl.v

10.5 KB

sdr_test.asm.rpt

8.8 KB

wrfifo.v

6.8 KB

rdfifo.v

6.8 KB

sdr_test.eda.rpt

6.2 KB

sdr_test.qsf

5.8 KB

sdr_test.v

5.7 KB

wrfifo_bb.v

5.7 KB

rdfifo_bb.v

5.7 KB

sdr_test.v.bak

5.6 KB

sdram_cmd.v.bak

4.6 KB

sdram_cmd.v

4.6 KB

PLL_ctrl.bsf

4.4 KB

sdram_top.v.bak

4.0 KB

sdram_top.v

4.0 KB

datagene.v.bak

3.3 KB

datagene.v

3.3 KB

wrfifo.bsf

3.2 KB

rdfifo.bsf

3.2 KB

sdr_para.v

3.0 KB

sdr_para.v.bak

3.0 KB

sdfifo_ctrl.v

2.8 KB

sdfifo_ctrl.v.bak

2.8 KB

uart_tx.v

2.6 KB

sdram_wr_data.v.bak

2.6 KB

sdram_wr_data.v

2.6 KB

sys_ctrl.v.bak

2.2 KB

sys_ctrl.v

2.2 KB

uart_speed_select.v

2.1 KB

sdr_test.sta.summary

1.6 KB

uart_ctrl.v

1.6 KB

sdr_test.rar

1.1 KB

sdr_test.qpf

0.9 KB

PLL_ctrl_waveforms.html

0.9 KB

wrfifo_waveforms.html

0.8 KB

rdfifo_waveforms.html

0.8 KB

PLL_ctrl.ppf

0.6 KB

PLL_ctrl.qip

0.5 KB

sdr_test.qws

0.5 KB

时序分析.txt

0.5 KB

wrfifo.qip

0.4 KB

rdfifo.qip

0.4 KB

sdr_test.fit.summary

0.4 KB

sdr_test.fit.smsg

0.4 KB

sdr_test.cdf

0.4 KB

sdr_test.map.summary

0.3 KB

sdr_test.dpf

0.2 KB

wrfifo_inst.v

0.2 KB

rdfifo_inst.v

0.2 KB

PLL_ctrl_inst.v

0.2 KB

sdr_test.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX1/clkdivverilog/.sopc_builder/

install.ptf

7.3 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX1/clkdivverilog/db/

clkdiv_global_asgn_op.abo

33.6 KB

clkdiv.cmp0.ddb

25.6 KB

prev_cmp_clkdiv.qmsg

22.1 KB

clkdiv.fit.qmsg

21.5 KB

clkdiv.tan.qmsg

20.2 KB

clkdiv.cmp.rdb

14.9 KB

prev_cmp_clkdiv.fit.qmsg

12.4 KB

clkdiv.cmp.cdb

7.5 KB

clkdiv.cmp.hdb

7.5 KB

clkdiv.cmp.tdb

7.4 KB

clkdiv.map.hdb

7.1 KB

clkdiv.sgdiff.hdb

7.1 KB

clkdiv.pre_map.hdb

6.8 KB

clkdiv.rtlv.hdb

6.8 KB

prev_cmp_clkdiv.map.qmsg

4.5 KB

clkdiv.map.qmsg

3.2 KB

prev_cmp_clkdiv.tan.qmsg

2.8 KB

clkdiv.map.cdb

2.8 KB

clkdiv.asm.qmsg

2.2 KB

prev_cmp_clkdiv.asm.qmsg

2.2 KB

clkdiv.sgdiff.cdb

2.0 KB

clkdiv.(0).cnf.cdb

1.7 KB

clkdiv.pre_map.cdb

1.7 KB

clkdiv.rtlv_sg.cdb

1.6 KB

clkdiv.lpc.txt

1.1 KB

clkdiv.hier_info

0.9 KB

clkdiv.asm_labs.ddb

0.8 KB

clkdiv.(0).cnf.hdb

0.8 KB

clkdiv.hif

0.7 KB

clkdiv.lpc.html

0.4 KB

clkdiv.lpc.rdb

0.4 KB

clkdiv.cmp.kpt

0.3 KB

clkdiv.rtlv_sg_swap.cdb

0.2 KB

clkdiv.tis_db_list.ddb

0.2 KB

clkdiv.eco.cdb

0.2 KB

clkdiv.sld_design_entry.sci

0.2 KB

clkdiv.sld_design_entry_dsc.sci

0.2 KB

clkdiv.db_info

0.1 KB

clkdiv.cbx.xml

0.1 KB

clkdiv.cmp.logdb

0.0 KB

clkdiv.map.logdb

0.0 KB

clkdiv.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX1/clkdivverilog/incremental_db/compiled_partitions/

clkdiv.root_partition.map.kpt

11.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX1/clkdivverilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX1/clkdivverilog/

clkdiv.fit.rpt

60.2 KB

clkdiv.tan.rpt

59.7 KB

clkdiv_assignment_defaults.qdf

40.2 KB

clkdiv.map.rpt

15.9 KB

clkdiv.pin

15.4 KB

clkdiv.pof

7.9 KB

clkdiv.asm.rpt

7.5 KB

clkdiv.flow.rpt

7.3 KB

clkdiv.qsf

2.2 KB

clkdiv.v

1.2 KB

clkdiv.v.bak

1.1 KB

clkdiv.tan.summary

1.0 KB

clkdiv.qpf

0.9 KB

clkdiv.qws

0.5 KB

clkdiv.fit.summary

0.4 KB

clkdiv.fit.smsg

0.3 KB

clkdiv.cdf

0.3 KB

clkdiv.map.summary

0.3 KB

clkdiv.dpf

0.2 KB

clkdiv.done

0.0 KB

sopc_builder_debug_log.txt

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX10/verilogsram/db/

prev_cmp_sram_test.qmsg

71.8 KB

prev_cmp_sram_test.tan.qmsg

36.4 KB

prev_cmp_sram_test.fit.qmsg

25.1 KB

prev_cmp_sram_test.map.qmsg

5.4 KB

prev_cmp_sram_test.eda.qmsg

2.4 KB

prev_cmp_sram_test.asm.qmsg

2.2 KB

sram_test.eco.cdb

0.2 KB

sram_test.sld_design_entry.sci

0.2 KB

sram_test.db_info

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX10/verilogsram/incremental_db/compiled_partitions/

sram_test.root_partition.map.kpt

37.4 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX10/verilogsram/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX10/verilogsram/simulation/modelsim/

sram_test.vo

102.0 KB

sram_test_v.sdo

87.9 KB

maxii_atoms.v

51.9 KB

sram_test_modelsim.xrf

6.7 KB

tb_sramtest.v

0.6 KB

tb_sramtest.v.bak

0.2 KB

sram_test.sft

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX10/verilogsram/

sram_test.tan.rpt

67.8 KB

sram_test.fit.rpt

63.7 KB

sram_test_assignment_defaults.qdf

40.2 KB

sram_test.map.rpt

21.0 KB

sram_test.pin

15.4 KB

sram_test.asm.rpt

8.1 KB

sram_test.pof

7.9 KB

sram_test.flow.rpt

7.7 KB

sram_test.eda.rpt

6.2 KB

sram_test.v

3.8 KB

sram_test.v.bak

3.8 KB

sram_test.qsf

3.7 KB

sram_test.tan.summary

1.4 KB

sram_test.qpf

0.9 KB

sram_test.qws

0.5 KB

sram_test.fit.summary

0.4 KB

sram_test.fit.smsg

0.3 KB

sram_test.cdf

0.3 KB

sram_test.map.summary

0.3 KB

sram_test.dpf

0.2 KB

sram_test.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX11/myosctest/db/

prev_cmp_myosctest.qmsg

26.7 KB

prev_cmp_myosctest.fit.qmsg

16.2 KB

myosctest.cmp0.ddb

15.8 KB

myosctest.fit.qmsg

15.7 KB

myosctest.cmp.rdb

12.3 KB

myosctest_global_asgn_op.abo

8.1 KB

myosctest.sgdiff.hdb

7.7 KB

myosctest.pre_map.hdb

7.6 KB

myosctest.rtlv.hdb

7.6 KB

myosctest.cmp.hdb

7.4 KB

myosctest.map.hdb

7.3 KB

myosctest.map.qmsg

5.3 KB

prev_cmp_myosctest.map.qmsg

5.3 KB

prev_cmp_myosctest.tan.qmsg

2.8 KB

myosctest.tan.qmsg

2.6 KB

myosctest.eda.qmsg

2.4 KB

prev_cmp_myosctest.eda.qmsg

2.4 KB

myosctest.cmp.cdb

2.2 KB

myosctest.asm.qmsg

2.2 KB

prev_cmp_myosctest.asm.qmsg

2.2 KB

wed.wsf

2.2 KB

myosctest.lpc.txt

2.0 KB

myosctest.hif

1.9 KB

myosctest.rtlv_sg.cdb

1.6 KB

myosctest.cmp.tdb

1.5 KB

myosctest.pre_map.cdb

1.4 KB

myosctest.map.cdb

1.3 KB

myosctest.lpc.html

1.2 KB

myosctest.sgdiff.cdb

1.1 KB

myosctest.(0).cnf.cdb

1.1 KB

myosctest.(2).cnf.hdb

0.7 KB

myosctest.(0).cnf.hdb

0.7 KB

myosctest.(2).cnf.cdb

0.7 KB

myosctest.(1).cnf.cdb

0.7 KB

myosctest.(1).cnf.hdb

0.6 KB

myosctest.asm_labs.ddb

0.5 KB

myosctest.rtlv_sg_swap.cdb

0.5 KB

myosctest.lpc.rdb

0.5 KB

myosctest.hier_info

0.4 KB

myosctest.cmp.kpt

0.3 KB

myosctest.tis_db_list.ddb

0.2 KB

myosctest.eco.cdb

0.2 KB

myosctest.sld_design_entry.sci

0.2 KB

myosctest.sld_design_entry_dsc.sci

0.2 KB

myosctest.db_info

0.1 KB

myosctest.cbx.xml

0.1 KB

myosctest.map.logdb

0.0 KB

myosctest.cmp.logdb

0.0 KB

myosctest.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX11/myosctest/incremental_db/compiled_partitions/

myosctest.root_partition.map.kpt

1.8 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX11/myosctest/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX11/myosctest/simulation/modelsim/

myosctest.vo

6.8 KB

myosctest_v.sdo

4.7 KB

myosctest_modelsim.xrf

1.1 KB

tb_myosctest.v

0.3 KB

myosctest.sft

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX11/myosctest/

myosctest.fit.rpt

62.2 KB

myosctest_assignment_defaults.qdf

42.5 KB

myosctest.map.rpt

22.6 KB

myosctest.pin

15.4 KB

myosctest.flow.rpt

8.0 KB

myosctest.pof

7.9 KB

myosctest.asm.rpt

7.5 KB

myosctest.tan.rpt

6.4 KB

myosctest.eda.rpt

6.3 KB

internal_osc.v

4.3 KB

vwf_myosctest.vwf

4.1 KB

internal_osc_bb.v

2.7 KB

myosctest.qsf

2.4 KB

internal_osc.bsf

1.9 KB

myosctest.v

1.0 KB

myosctest.qpf

0.9 KB

myosctest.qws

0.5 KB

internal_osc.qip

0.5 KB

myosctest.v.bak

0.5 KB

myosctest.fit.summary

0.4 KB

myosctest.fit.smsg

0.3 KB

myosctest.map.summary

0.3 KB

tb_myosctest.v.bak

0.3 KB

internal_osc_inst.v

0.1 KB

myosctest.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX12/UFTtest/db/

prev_cmp_ufmtest.qmsg

70.3 KB

prev_cmp_ufmtest.fit.qmsg

46.5 KB

prev_cmp_ufmtest.map.qmsg

11.0 KB

prev_cmp_ufmtest.tan.qmsg

8.1 KB

cntr_dsj.tdf

4.4 KB

prev_cmp_ufmtest.eda.qmsg

2.3 KB

prev_cmp_ufmtest.asm.qmsg

2.2 KB

cmpr_2vb.tdf

1.9 KB

ufmtest.eco.cdb

0.2 KB

ufmtest.sld_design_entry.sci

0.2 KB

ufmtest.db_info

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX12/UFTtest/incremental_db/compiled_partitions/

ufmtest.root_partition.map.kpt

37.5 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX12/UFTtest/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX12/UFTtest/simulation/modelsim/

ufmtest.vo

191.8 KB

ufmtest_v.sdo

103.0 KB

maxii_atoms.v

51.9 KB

ufmtest_modelsim.xrf

18.3 KB

tb_ufmtest.v

1.3 KB

tb_ufmtest.v.bak

0.9 KB

ufmtest.sft

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX12/UFTtest/

ufmtest.fit.rpt

92.7 KB

ufmtest_assignment_defaults.qdf

42.5 KB

ufmtest.map.rpt

36.3 KB

para_ufm.v

21.0 KB

ufmtest.pin

15.4 KB

ufmtest.pof

15.0 KB

ufmtest.tan.rpt

9.5 KB

ufmtest.flow.rpt

9.3 KB

ufmtest.asm.rpt

7.1 KB

ufmtest.eda.rpt

5.7 KB

para_ufm_bb.v

4.2 KB

para_ufm.bsf

3.3 KB

ufmtest.qsf

2.7 KB

ufmtest.v

1.3 KB

ufmtest.qpf

0.9 KB

ufmtest.v.bak

0.8 KB

ufmtest.tan.summary

0.7 KB

ufmtest.qws

0.5 KB

para_ufm.qip

0.5 KB

ufmtest.fit.summary

0.4 KB

ufmtest.fit.smsg

0.3 KB

ufmtest.map.summary

0.3 KB

para_ufm_inst.v

0.2 KB

ufmtest.dpf

0.2 KB

ufmtest.map.smsg

0.1 KB

ufmtest.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX13/modelsim_test/db/

modelsim_test.eco.cdb

0.2 KB

modelsim_test.sld_design_entry.sci

0.2 KB

modelsim_test.db_info

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX13/modelsim_test/simulation/modelsim/

maxii_atoms.v

51.8 KB

modelsim_test.vo

3.0 KB

modelsim_test_v.sdo

2.5 KB

vtf_test.v.bak

0.9 KB

vtf_test.v

0.9 KB

modelsim_test_modelsim.xrf

0.4 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX13/modelsim_test/

modelsim_test.fit.rpt

49.9 KB

modelsim_test_assignment_defaults.qdf

42.6 KB

modelsim_test.map.rpt

15.6 KB

modelsim_test.pin

14.7 KB

modelsim_test.tan.rpt

11.9 KB

modelsim_test.eda.rpt

5.6 KB

modelsim_test.asm.rpt

5.5 KB

modelsim_test.flow.rpt

4.9 KB

modelsim_test.fit.smsg

4.1 KB

modelsim_test.qsf

1.9 KB

modelsim_test.tan.summary

1.0 KB

modelsim_test.qpf

0.9 KB

modelsim_test.v

0.8 KB

modelsim_test.qws

0.5 KB

modelsim_test.fit.summary

0.4 KB

modelsim_test.map.summary

0.3 KB

modelsim_test.v.bak

0.3 KB

modelsim_test.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX2/keyscanverilog/db/

sw_debounce_global_asgn_op.abo

55.5 KB

prev_cmp_sw_debounce.tan.qmsg

32.8 KB

sw_debounce.tan.qmsg

32.8 KB

sw_debounce.cmp0.ddb

31.2 KB

sw_debounce.fit.qmsg

21.2 KB

prev_cmp_sw_debounce.fit.qmsg

21.2 KB

sw_debounce.cmp.rdb

15.7 KB

sw_debounce.cmp.cdb

11.1 KB

sw_debounce.cmp.tdb

9.8 KB

sw_debounce.cmp.hdb

8.2 KB

sw_debounce.sgdiff.hdb

7.9 KB

sw_debounce.map.hdb

7.8 KB

sw_debounce.pre_map.hdb

7.5 KB

sw_debounce.rtlv.hdb

7.5 KB

sw_debounce.map.qmsg

4.0 KB

sw_debounce.map.cdb

3.9 KB

sw_debounce.(0).cnf.cdb

3.3 KB

sw_debounce.sgdiff.cdb

3.1 KB

prev_cmp_sw_debounce.qmsg

3.1 KB

sw_debounce.pre_map.cdb

3.0 KB

sw_debounce.rtlv_sg.cdb

2.9 KB

prev_cmp_sw_debounce.map.qmsg

2.8 KB

sw_debounce.asm.qmsg

2.2 KB

prev_cmp_sw_debounce.asm.qmsg

2.2 KB

sw_debounce.hier_info

1.9 KB

sw_debounce.(0).cnf.hdb

1.2 KB

sw_debounce.asm_labs.ddb

1.1 KB

sw_debounce.lpc.txt

1.1 KB

sw_debounce.hif

0.8 KB

sw_debounce.lpc.html

0.4 KB

sw_debounce.lpc.rdb

0.4 KB

sw_debounce.cmp.kpt

0.3 KB

sw_debounce.rtlv_sg_swap.cdb

0.2 KB

sw_debounce.tis_db_list.ddb

0.2 KB

sw_debounce.eco.cdb

0.2 KB

sw_debounce.sld_design_entry.sci

0.2 KB

sw_debounce.sld_design_entry_dsc.sci

0.2 KB

sw_debounce.db_info

0.1 KB

sw_debounce.cbx.xml

0.1 KB

sw_debounce.map.logdb

0.0 KB

sw_debounce.cmp.logdb

0.0 KB

sw_debounce.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX2/keyscanverilog/incremental_db/compiled_partitions/

sw_debounce.root_partition.map.kpt

19.9 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX2/keyscanverilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX2/keyscanverilog/

sw_debounce.tan.rpt

65.0 KB

sw_debounce.fit.rpt

61.9 KB

sw_debounce_assignment_defaults.qdf

40.2 KB

sw_debounce.map.rpt

17.1 KB

sw_debounce.pin

15.4 KB

sw_debounce.pof

7.9 KB

sw_debounce.asm.rpt

7.6 KB

sw_debounce.flow.rpt

6.8 KB

sw_debounce.v

2.8 KB

sw_debounce.v.bak

2.7 KB

sw_debounce.qsf

2.6 KB

sw_debounce.tan.summary

1.4 KB

sw_debounce.qpf

0.9 KB

sw_debounce.qws

0.5 KB

sw_debounce.fit.summary

0.4 KB

sw_debounce.fit.smsg

0.3 KB

sw_debounce.cdf

0.3 KB

sw_debounce.map.summary

0.3 KB

sw_debounce.dpf

0.2 KB

transcript

0.1 KB

sw_debounce.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX3/johnsonverilog/db/

prev_cmp_johnson.qmsg

60.9 KB

prev_cmp_johnson.tan.qmsg

33.4 KB

prev_cmp_johnson.fit.qmsg

17.5 KB

prev_cmp_johnson.map.qmsg

4.4 KB

prev_cmp_johnson.asm.qmsg

2.2 KB

johnson.eco.cdb

0.2 KB

johnson.sld_design_entry.sci

0.2 KB

johnson.db_info

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX3/johnsonverilog/incremental_db/compiled_partitions/

johnson.root_partition.map.kpt

21.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX3/johnsonverilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX3/johnsonverilog/

johnson.tan.rpt

65.5 KB

johnson.fit.rpt

53.6 KB

johnson_assignment_defaults.qdf

40.2 KB

johnson.map.rpt

17.6 KB

johnson.pin

15.4 KB

johnson.asm.rpt

8.0 KB

johnson.pof

7.9 KB

johnson.flow.rpt

6.8 KB

johnson.qsf

2.6 KB

johnson.v

2.4 KB

johnson.v.bak

2.3 KB

johnson.tan.summary

1.4 KB

johnson.qpf

0.9 KB

johnson.qws

0.5 KB

johnson.fit.summary

0.4 KB

johnson.fit.smsg

0.3 KB

johnson.cdf

0.3 KB

johnson.map.summary

0.3 KB

johnson.dpf

0.2 KB

johnson.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX4/verilogled7/db/

prev_cmp_led_seg7.qmsg

33.7 KB

prev_cmp_led_seg7.tan.qmsg

22.2 KB

prev_cmp_led_seg7.fit.qmsg

19.4 KB

prev_cmp_led_seg7.map.qmsg

3.9 KB

prev_cmp_led_seg7.asm.qmsg

2.2 KB

led_seg7.eco.cdb

0.2 KB

led_seg7.sld_design_entry.sci

0.2 KB

led_seg7.db_info

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX4/verilogled7/incremental_db/compiled_partitions/

led_seg7.root_partition.map.kpt

15.6 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX4/verilogled7/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX4/verilogled7/

led_seg7.tan.rpt

61.0 KB

led_seg7.fit.rpt

54.0 KB

led_seg7_assignment_defaults.qdf

40.2 KB

led_seg7.map.rpt

18.5 KB

led_seg7.pin

15.4 KB

led_seg7.asm.rpt

7.9 KB

led_seg7.pof

7.9 KB

led_seg7.flow.rpt

6.8 KB

led_seg7.qsf

2.7 KB

led_seg7.v

2.4 KB

led_seg7.v.bak

2.3 KB

led_seg7.tan.summary

1.0 KB

led_seg7.qpf

0.9 KB

led_seg7.qws

0.5 KB

led_seg7.fit.summary

0.4 KB

led_seg7.fit.smsg

0.3 KB

led_seg7.cdf

0.3 KB

led_seg7.map.summary

0.3 KB

transcript

0.3 KB

led_seg7.dpf

0.2 KB

led_seg7.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX5/mux16/db/

mux16_global_asgn_op.abo

183.1 KB

prev_cmp_mux16.qmsg

115.1 KB

prev_cmp_mux16.fit.qmsg

72.5 KB

mux16.fit.qmsg

72.5 KB

mux16.cmp0.ddb

66.0 KB

mux16.cmp.cdb

33.7 KB

mux16.tan.qmsg

33.1 KB

prev_cmp_mux16.tan.qmsg

33.1 KB

mux16.cmp.tdb

32.7 KB

mux16.cmp.rdb

22.0 KB

wed.wsf

16.8 KB

mux16.cmp.hdb

10.0 KB

mux16.sgdiff.hdb

9.5 KB

mux16.ae.hdb

9.3 KB

mux16.pre_map.hdb

9.0 KB

mux16.rtlv.hdb

9.0 KB

mux16.map.hdb

8.9 KB

mux16.(0).cnf.cdb

8.4 KB

mux16.sgdiff.cdb

7.9 KB

mux16.map.cdb

7.9 KB

mux16.hier_info

7.2 KB

mux16.pre_map.cdb

7.1 KB

mux16.rtlv_sg.cdb

7.0 KB

mux16.map.qmsg

3.7 KB

prev_cmp_mux16.map.qmsg

3.7 KB

mux16.sgate.rvd

3.6 KB

mux16.sim.cvwf

2.4 KB

mux16.asm_labs.ddb

2.4 KB

mux16.eda.qmsg

2.3 KB

prev_cmp_mux16.eda.qmsg

2.3 KB

prev_cmp_mux16.asm.qmsg

2.2 KB

mux16.asm.qmsg

2.2 KB

mux16.rpp.qmsg

1.8 KB

mux16.(0).cnf.hdb

1.7 KB

mux16.lpc.txt

1.1 KB

mux16.hif

0.7 KB

mux16.lpc.html

0.4 KB

mux16.lpc.rdb

0.4 KB

mux16.cmp.kpt

0.3 KB

mux16.sgate_sm.rvd

0.2 KB

mux16.tis_db_list.ddb

0.2 KB

mux16.rtlv_sg_swap.cdb

0.2 KB

mux16.eco.cdb

0.2 KB

mux16.sld_design_entry_dsc.sci

0.2 KB

mux16.sld_design_entry.sci

0.2 KB

mux16.db_info

0.1 KB

mux16.cbx.xml

0.1 KB

mux16.map.logdb

0.0 KB

mux16.cmp.logdb

0.0 KB

mux16.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX5/mux16/incremental_db/compiled_partitions/

mux16.root_partition.map.kpt

36.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX5/mux16/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX5/mux16/simulation/modelsim/

mux16.vo

138.7 KB

mux16_v.sdo

127.5 KB

maxii_atoms.v

51.8 KB

mux16_modelsim.xrf

9.5 KB

vtf_test.v

1.5 KB

vtf_test.v.bak

1.1 KB

mux16.sft

0.1 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX5/mux16/

mux16.fit.rpt

88.2 KB

mux16.tan.rpt

81.8 KB

mux16_assignment_defaults.qdf

42.6 KB

mux16.sim.rpt

36.3 KB

mux16.vwf

29.0 KB

mux16.map.rpt

17.5 KB

mux16.pin

15.4 KB

mux16.pof

7.9 KB

mux16.asm.rpt

7.3 KB

mux16.flow.rpt

6.8 KB

mux16.eda.rpt

6.2 KB

mux16.v

2.4 KB

mux16.v.bak

2.3 KB

mux16.qsf

2.2 KB

mux16.tan.summary

1.4 KB

mux16.map.smsg

0.9 KB

mux16.qpf

0.9 KB

mux16.qws

0.5 KB

mux16.fit.summary

0.4 KB

mux16.fit.smsg

0.3 KB

mux16.map.summary

0.3 KB

mux16.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX6/verilogvga/db/

vga_dis_global_asgn_op.abo

127.7 KB

vga_dis.cmp0.ddb

51.7 KB

vga_dis.fit.qmsg

36.3 KB

vga_dis.tan.qmsg

32.2 KB

prev_cmp_vga_dis.tan.qmsg

31.4 KB

vga_dis.cmp.cdb

26.5 KB

vga_dis.cmp.tdb

23.9 KB

prev_cmp_vga_dis.fit.qmsg

21.8 KB

vga_dis.cmp.rdb

16.3 KB

vga_dis.cmp.hdb

9.6 KB

vga_dis.map.hdb

8.9 KB

vga_dis.sgdiff.hdb

8.5 KB

vga_dis.rtlv.hdb

8.2 KB

vga_dis.pre_map.hdb

8.1 KB

vga_dis.map.cdb

7.6 KB

vga_dis.sgdiff.cdb

6.6 KB

vga_dis.(0).cnf.cdb

6.3 KB

vga_dis.pre_map.cdb

6.1 KB

vga_dis.rtlv_sg.cdb

6.0 KB

vga_dis.map.qmsg

4.2 KB

prev_cmp_vga_dis.map.qmsg

4.1 KB

vga_dis.asm.qmsg

2.2 KB

prev_cmp_vga_dis.asm.qmsg

2.2 KB

vga_dis.asm_labs.ddb

2.0 KB

vga_dis.(0).cnf.hdb

1.6 KB

vga_dis.hier_info

1.3 KB

vga_dis.lpc.txt

1.1 KB

vga_dis.hif

0.7 KB

vga_dis.lpc.html

0.4 KB

vga_dis.lpc.rdb

0.4 KB

vga_dis.cmp.kpt

0.3 KB

vga_dis.rtlv_sg_swap.cdb

0.2 KB

vga_dis.tis_db_list.ddb

0.2 KB

vga_dis.eco.cdb

0.2 KB

vga_dis.sld_design_entry.sci

0.2 KB

vga_dis.sld_design_entry_dsc.sci

0.2 KB

vga_dis.db_info

0.1 KB

vga_dis.cbx.xml

0.1 KB

vga_dis.map.logdb

0.0 KB

vga_dis.cmp.logdb

0.0 KB

vga_dis.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX6/verilogvga/incremental_db/compiled_partitions/

vga_dis.root_partition.map.kpt

12.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX6/verilogvga/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX6/verilogvga/

vga_dis.tan.rpt

66.7 KB

vga_dis.fit.rpt

65.6 KB

vga_dis_assignment_defaults.qdf

40.2 KB

vga_dis.map.rpt

16.6 KB

vga_dis.pin

15.4 KB

vga_dis.pof

7.9 KB

vga_dis.asm.rpt

7.4 KB

vga_dis.flow.rpt

6.7 KB

vga_dis.v

2.9 KB

vga_dis.v.bak

2.9 KB

vga_dis.qsf

2.5 KB

vga_dis.tan.summary

1.0 KB

vga_dis.qpf

0.9 KB

vga_dis.qws

0.5 KB

vga_dis.fit.summary

0.4 KB

vga_dis.fit.smsg

0.3 KB

vga_dis.cdf

0.3 KB

vga_dis.map.summary

0.3 KB

vga_dis.dpf

0.2 KB

vga_dis.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX7/uartverilog/db/

my_uart_top_global_asgn_op.abo

143.9 KB

my_uart_top.cmp0.ddb

48.7 KB

prev_cmp_my_uart_top.tan.qmsg

34.5 KB

my_uart_top.tan.qmsg

34.5 KB

my_uart_top.cmp.cdb

26.3 KB

prev_cmp_my_uart_top.fit.qmsg

21.7 KB

my_uart_top.fit.qmsg

21.7 KB

my_uart_top.cmp.tdb

19.9 KB

my_uart_top.cmp.rdb

16.6 KB

my_uart_top.sgdiff.hdb

10.4 KB

my_uart_top.cmp.hdb

10.3 KB

my_uart_top.pre_map.hdb

10.0 KB

my_uart_top.rtlv.hdb

10.0 KB

my_uart_top.map.hdb

9.8 KB

my_uart_top.map.cdb

9.1 KB

my_uart_top.map.qmsg

8.4 KB

prev_cmp_my_uart_top.map.qmsg

8.4 KB

my_uart_top.sgate.rvd

7.9 KB

my_uart_top.sgdiff.cdb

7.8 KB

my_uart_top.pre_map.cdb

7.8 KB

my_uart_top.rtlv_sg.cdb

7.7 KB

my_uart_top.hier_info

6.0 KB

my_uart_top.(2).cnf.cdb

4.6 KB

my_uart_top.(3).cnf.cdb

3.0 KB

my_uart_top.hif

2.4 KB

my_uart_top.(1).cnf.cdb

2.3 KB

my_uart_top.asm.qmsg

2.2 KB

prev_cmp_my_uart_top.asm.qmsg

2.2 KB

my_uart_top.lpc.txt

2.1 KB

my_uart_top.lpc.html

2.0 KB

my_uart_top.rpp.qmsg

1.8 KB

my_uart_top.asm_labs.ddb

1.8 KB

my_uart_top.(0).cnf.cdb

1.5 KB

my_uart_top.(2).cnf.hdb

1.2 KB

my_uart_top.(3).cnf.hdb

1.1 KB

my_uart_top.(0).cnf.hdb

1.0 KB

my_uart_top.(1).cnf.hdb

0.8 KB

my_uart_top.rtlv_sg_swap.cdb

0.8 KB

my_uart_top.lpc.rdb

0.5 KB

my_uart_top.cmp.kpt

0.3 KB

my_uart_top.sgate_sm.rvd

0.2 KB

my_uart_top.tis_db_list.ddb

0.2 KB

my_uart_top.eco.cdb

0.2 KB

my_uart_top.sld_design_entry.sci

0.2 KB

my_uart_top.sld_design_entry_dsc.sci

0.2 KB

my_uart_top.db_info

0.1 KB

my_uart_top.cbx.xml

0.1 KB

my_uart_top.cmp.logdb

0.0 KB

my_uart_top.map.logdb

0.0 KB

my_uart_top.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX7/uartverilog/incremental_db/compiled_partitions/

my_uart_top.root_partition.map.kpt

39.8 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX7/uartverilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX7/uartverilog/

my_uart_top.tan.rpt

77.1 KB

my_uart_top.fit.rpt

63.6 KB

my_uart_top.jpg

43.9 KB

my_uart_top_assignment_defaults.qdf

40.2 KB

my_uart_top.map.rpt

21.4 KB

my_uart_top.pin

15.4 KB

my_uart_top.pof

7.9 KB

my_uart_top.asm.rpt

7.5 KB

my_uart_top.flow.rpt

7.2 KB

my_uart_rx.v

3.7 KB

my_uart_tx.v

3.1 KB

my_uart_top.qsf

2.7 KB

my_uart_top.map.smsg

2.2 KB

my_uart_top.v

2.1 KB

my_uart_top.v.bak

2.1 KB

speed_select.v

2.0 KB

my_uart_top.tan.summary

1.5 KB

my_uart_top.qpf

0.9 KB

my_uart_top.qws

0.5 KB

my_uart_top.fit.summary

0.4 KB

my_uart_top.fit.smsg

0.3 KB

my_uart_top.cdf

0.3 KB

my_uart_top.map.summary

0.3 KB

my_uart_top.dpf

0.2 KB

my_uart_top.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX8/ps2verilog/db/

ps2_key_global_asgn_op.abo

170.2 KB

prev_cmp_ps2_key.tan.qmsg

64.8 KB

ps2_key.tan.qmsg

64.8 KB

ps2_key.cmp0.ddb

57.1 KB

prev_cmp_ps2_key.fit.qmsg

31.9 KB

ps2_key.fit.qmsg

31.9 KB

ps2_key.cmp.cdb

29.9 KB

ps2_key.cmp.tdb

22.1 KB

ps2_key.cmp.rdb

18.2 KB

prev_cmp_ps2_key.map.qmsg

14.7 KB

ps2_key.map.qmsg

14.7 KB

ps2_key.cmp.hdb

10.4 KB

ps2_key.map.cdb

10.3 KB

ps2_key.sgdiff.hdb

10.1 KB

ps2_key.map.hdb

10.0 KB

ps2_key.pre_map.hdb

9.8 KB

ps2_key.rtlv.hdb

9.8 KB

ps2_key.sgate.rvd

9.7 KB

ps2_key.rtlv_sg.cdb

9.3 KB

ps2_key.sgdiff.cdb

8.6 KB

ps2_key.pre_map.cdb

8.6 KB

ps2_key.(1).cnf.cdb

5.9 KB

ps2_key.hier_info

4.3 KB

ps2_key.(3).cnf.cdb

3.0 KB

ps2_key.hif

2.3 KB

ps2_key.(2).cnf.cdb

2.3 KB

ps2_key.asm.qmsg

2.2 KB

prev_cmp_ps2_key.asm.qmsg

2.2 KB

ps2_key.asm_labs.ddb

2.2 KB

ps2_key.lpc.txt

1.9 KB

ps2_key.(1).cnf.hdb

1.9 KB

ps2_key.rpp.qmsg

1.8 KB

ps2_key.lpc.html

1.6 KB

ps2_key.(0).cnf.cdb

1.4 KB

ps2_key.(3).cnf.hdb

1.1 KB

ps2_key.(0).cnf.hdb

1.0 KB

ps2_key.(2).cnf.hdb

0.8 KB

ps2_key.rtlv_sg_swap.cdb

0.8 KB

ps2_key.lpc.rdb

0.5 KB

ps2_key.cmp.kpt

0.3 KB

ps2_key.sgate_sm.rvd

0.2 KB

ps2_key.tis_db_list.ddb

0.2 KB

ps2_key.eco.cdb

0.2 KB

ps2_key.sld_design_entry_dsc.sci

0.2 KB

ps2_key.sld_design_entry.sci

0.2 KB

ps2_key.db_info

0.1 KB

ps2_key.cbx.xml

0.1 KB

ps2_key.cmp.logdb

0.0 KB

ps2_key.map.logdb

0.0 KB

ps2_key.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX8/ps2verilog/incremental_db/compiled_partitions/

ps2_key.root_partition.map.kpt

30.2 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX8/ps2verilog/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX8/ps2verilog/

ps2_key.tan.rpt

93.3 KB

ps2_key.fit.rpt

67.7 KB

ps2_key_assignment_defaults.qdf

40.2 KB

ps2_key.jpg

33.0 KB

ps2_key.map.rpt

23.8 KB

ps2_key.pin

15.4 KB

ps2_key.pof

7.9 KB

ps2_key.asm.rpt

7.4 KB

ps2_key.flow.rpt

6.8 KB

ps2scan.v

4.5 KB

my_uart_tx.v

3.1 KB

ps2_key.qsf

2.6 KB

speed_select.v

2.0 KB

ps2_key.tan.summary

1.8 KB

ps2_key.v

1.6 KB

ps2_key.v.bak

1.5 KB

ps2_key.qpf

0.9 KB

ps2_key.qws

0.5 KB

ps2_key.fit.summary

0.4 KB

ps2_key.fit.smsg

0.3 KB

ps2_key.cdf

0.3 KB

ps2_key.map.summary

0.3 KB

ps2_key.dpf

0.2 KB

ps2_key.done

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX9/verilogiic1121/db/

iic_top_global_asgn_op.abo

201.3 KB

iic_top.cmp0.ddb

65.6 KB

iic_top.cmp.cdb

37.8 KB

iic_top.tan.qmsg

35.9 KB

prev_cmp_iic_top.tan.qmsg

35.9 KB

iic_top.fit.qmsg

33.8 KB

prev_cmp_iic_top.fit.qmsg

33.8 KB

iic_top.cmp.tdb

29.9 KB

iic_top.rtlv_sg.cdb

23.5 KB

iic_top.pre_map.cdb

23.0 KB

iic_top.(1).cnf.cdb

20.1 KB

iic_top.cmp.rdb

19.1 KB

iic_top.sgdiff.hdb

13.9 KB

iic_top.sgate.rvd

12.7 KB

iic_top.pre_map.hdb

12.5 KB

iic_top.cmp.hdb

12.5 KB

iic_top.rtlv.hdb

12.5 KB

iic_top.map.cdb

12.4 KB

iic_top.sgdiff.cdb

12.0 KB

iic_top.map.hdb

11.6 KB

iic_top.sgate_sm.rvd

8.1 KB

prev_cmp_iic_top.map.qmsg

8.0 KB

iic_top.map.qmsg

8.0 KB

iic_top.hier_info

4.8 KB

iic_top.hif

3.5 KB

iic_top.(2).cnf.cdb

2.5 KB

iic_top.asm_labs.ddb

2.5 KB

iic_top.asm.qmsg

2.2 KB

prev_cmp_iic_top.asm.qmsg

2.2 KB

wed.wsf

2.1 KB

iic_top.(1).cnf.hdb

2.1 KB

iic_top.rpp.qmsg

1.8 KB

iic_top.lpc.txt

1.7 KB

iic_top.(0).cnf.cdb

1.4 KB

iic_top.lpc.html

1.2 KB

iic_top.(2).cnf.hdb

1.1 KB

iic_top.rtlv_sg_swap.cdb

1.0 KB

iic_top.(0).cnf.hdb

1.0 KB

iic_top.smp_dump.txt

0.9 KB

iic_top.lpc.rdb

0.5 KB

iic_top.cmp.kpt

0.3 KB

iic_top.tis_db_list.ddb

0.2 KB

iic_top.eco.cdb

0.2 KB

iic_top.sld_design_entry.sci

0.2 KB

iic_top.sld_design_entry_dsc.sci

0.2 KB

iic_top.db_info

0.1 KB

iic_top.cbx.xml

0.1 KB

iic_top.cmp.logdb

0.0 KB

iic_top.map.logdb

0.0 KB

iic_top.syn_hier_info

0.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX9/verilogiic1121/incremental_db/compiled_partitions/

iic_top.root_partition.map.kpt

60.0 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX9/verilogiic1121/incremental_db/

README

0.7 KB

/深入浅出玩转fpga/基于EPM240的入门实验/EX9/verilogiic1121/

cstate.jpg

110.0 KB

iic_top.tan.rpt

76.3 KB

iic_top.fit.rpt

70.6 KB

iic_top_assignment_defaults.qdf

40.2 KB

iic_top.map.rpt

30.6 KB

iic_top.pin

15.4 KB

iic_com.v

10.7 KB

iic_top.pof

7.9 KB

iic_top.asm.rpt

7.5 KB

iic_top.flow.rpt

6.8 KB

tb_iic_top.vwf

3.9 KB

iic_top.qsf

3.0 KB

led_seg7.v

2.2 KB

iic_top.tan.summary

1.5 KB

iic_top.v

1.3 KB

iic_top.v.bak

1.2 KB

iic_top.qpf

0.9 KB

iic_top.qws

0.5 KB

iic_top.fit.summary

0.4 KB

iic_top.fit.smsg

0.3 KB

iic_top.cdf

0.3 KB

iic_top.map.summary

0.3 KB

iic_top.dpf

0.2 KB

iic_top.done

0.0 KB

/.../ex15_logic_analysis/logic_analysis/db/

logic_analysis_global_asgn_op.abo

3.3 MB

logic_analysis.map.kpt

611.4 KB

logic_analysis.cmp.cdb

398.5 KB

logic_analysis.cmp0.ddb

326.1 KB

logic_analysis.sta_cmp.8_slow.tdb

285.4 KB

altsyncram_n0a1.tdf

249.2 KB

altsyncram_u0a1.tdf

248.9 KB

logic_analysis.hier_info

187.1 KB

altsyncram_0e71.tdf

145.3 KB

altsyncram_lp51.tdf

141.2 KB

logic_analysis.map.cdb

115.5 KB

logic_analysis.sgdiff.cdb

101.6 KB

logic_analysis.rtlv_sg.cdb

92.5 KB

prev_cmp_logic_analysis.qmsg

81.8 KB

logic_analysis.pre_map.cdb

70.4 KB

logic_analysis.sgate.rvd

60.7 KB

logic_analysis.sgdiff.hdb

52.6 KB

logic_analysis.pre_map.hdb

51.2 KB

logic_analysis.rtlv.hdb

50.9 KB

logic_analysis.cmp.hdb

43.8 KB

logic_analysis.(5).cnf.cdb

43.7 KB

logic_analysis.map.hdb

43.3 KB

logic_analysis.(4).cnf.cdb

37.5 KB

logic_analysis.hif

33.0 KB

prev_cmp_logic_analysis.fit.qmsg

31.3 KB

logic_analysis.fit.qmsg

31.3 KB

logic_analysis.map.qmsg

30.4 KB

prev_cmp_logic_analysis.map.qmsg

30.4 KB

logic_analysis.cmp.rdb

25.3 KB

altsyncram_op51.tdf

23.3 KB

altsyncram_dg61.tdf

22.2 KB

logic_analysis.(4).cnf.hdb

15.3 KB

logic_analysis.rtlv_sg_swap.cdb

14.1 KB

altsyncram_ql51.tdf

13.5 KB

altsyncram_hd51.tdf

13.4 KB

altsyncram_fc61.tdf

12.9 KB

logic_analysis.sta.qmsg

11.6 KB

prev_cmp_logic_analysis.sta.qmsg

11.6 KB

logic_analysis.map_bb.hdb

11.0 KB

logic_analysis.(0).cnf.cdb

9.5 KB

logic_analysis.(5).cnf.hdb

8.8 KB

altsyncram_5m31.tdf

8.5 KB

prev_cmp_logic_analysis.tan.qmsg

6.5 KB

logic_analysis.sta.rdb

5.7 KB

logic_analysis.(0).cnf.hdb

4.3 KB

logic_analysis.lpc.txt

3.7 KB

logic_analysis.lpc.html

3.7 KB

shift_taps_csr.tdf

2.6 KB

logic_analysis.(8).cnf.cdb

2.6 KB

cntr_0df.tdf

2.6 KB

shift_taps_qsr.tdf

2.5 KB

logic_analysis.eda.qmsg

2.4 KB

prev_cmp_logic_analysis.eda.qmsg

2.4 KB

logic_analysis.(11).cnf.cdb

2.3 KB

prev_cmp_logic_analysis.asm.qmsg

2.0 KB

logic_analysis.asm.qmsg

2.0 KB

logic_analysis.rpp.qmsg

1.8 KB

logic_analysis.(3).cnf.cdb

1.8 KB

logic_analysis.(6).cnf.cdb

1.8 KB

logic_analysis.(2).cnf.cdb

1.7 KB

logic_analysis.(9).cnf.cdb

1.6 KB

logic_analysis.(7).cnf.cdb

1.6 KB

logic_analysis.cmp.bpm

1.5 KB

logic_analysis.(10).cnf.cdb

1.4 KB

logic_analysis.(1).cnf.cdb

1.3 KB

logic_analysis.(2).cnf.hdb

1.2 KB

logic_analysis.(6).cnf.hdb

1.2 KB

logic_analysis.(9).cnf.hdb

1.1 KB

logic_analysis.(3).cnf.hdb

1.0 KB

logic_analysis.map.bpm

1.0 KB

logic_analysis.map_bb.cdb

0.9 KB

logic_analysis.(1).cnf.hdb

0.8 KB

logic_analysis.(8).cnf.hdb

0.8 KB

logic_analysis.(10).cnf.hdb

0.8 KB

logic_analysis.(7).cnf.hdb

0.8 KB

logic_analysis.(11).cnf.hdb

0.7 KB

logic_analysis.lpc.rdb

0.6 KB

logic_analysis.cbx.xml

0.4 KB

logic_analysis.cmp_merge.kpt

0.4 KB

logic_analysis.cmp.kpt

0.3 KB

logic_analysis.sgate_sm.rvd

0.2 KB

logic_analysis.tis_db_list.ddb

0.2 KB

logic_analysis.eco.cdb

0.2 KB

logic_analysis.sld_design_entry.sci

0.2 KB

logic_analysis.sld_design_entry_dsc.sci

0.2 KB

logic_analysis.db_info

0.1 KB

logic_analysis.map.ecobp

0.0 KB

logic_analysis.cmp.ecobp

0.0 KB

logic_analysis.map.logdb

0.0 KB

logic_analysis.cmp.logdb

0.0 KB

logic_analysis.map_bb.logdb

0.0 KB

logic_analysis.syn_hier_info

0.0 KB

/.../ex15_logic_analysis/logic_analysis/incremental_db/compiled_partitions/

logic_analysis.root_partition.map.kpt

611.4 KB

logic_analysis.root_partition.cmp.atm

266.9 KB

logic_analysis.root_partition.map.atm

243.7 KB

logic_analysis.root_partition.cmp.rcf

127.4 KB

logic_analysis.root_partition.merge_hb.atm

44.2 KB

logic_analysis.root_partition.map.hdbx

31.2 KB

logic_analysis.root_partition.cmp.hdbx

29.1 KB

logic_analysis.root_partition.map.dpi

5.4 KB

logic_analysis.root_partition.cmp.kpt

0.3 KB

logic_analysis.root_partition.cmp.dfp

0.0 KB

logic_analysis.root_partition.cmp.logdb

0.0 KB

/.../ex15_logic_analysis/logic_analysis/incremental_db/

README

0.7 KB

/.../ex15_logic_analysis/logic_analysis/simulation/modelsim/

logic_analysis.vo

2.4 MB

altera_mf.v

2.3 MB

logic_analysis_v.sdo

1.8 MB

cyclone_atoms.v

244.8 KB

vsim.wlf

163.8 KB

logic_analysis_modelsim.xrf

160.8 KB

sim_logic_prj.mpf

15.6 KB

sim_logic_prj.cr.mti

6.2 KB

tb_logic_analysis.v

3.3 KB

tb_logic_analysis.v.bak

3.3 KB

sys_ctrl_task.v

1.4 KB

sys_ctrl_task.v.bak

1.4 KB

tb_logic_analysis.rar

1.4 KB

print_task.v

1.3 KB

transcript

0.3 KB

logic_analysis.sft

0.1 KB

/.../ex15_logic_analysis/logic_analysis/

PLL_ctrl_wave0.jpg

553.6 KB

logic_analysis.tan.rpt

325.2 KB

logic_analysis.pof

131.3 KB

logic_analysis.fit.rpt

126.4 KB

topic_rom_wave0.jpg

114.8 KB

char_rom_wave0.jpg

109.1 KB

logic_analysis.jpg

108.1 KB

logic_analysis.map.rpt

107.0 KB

logic_analysis.sof

74.1 KB

logic_analysis.sta.rpt

57.6 KB

logic_analysis_assignment_defaults.qdf

42.5 KB

vga_ctrl.v

23.4 KB

vga_ctrl.v.bak

23.4 KB

logic_analysis.pin

20.3 KB

PLL_ctrl.v

16.4 KB

logic_analysis.flow.rpt

12.8 KB

logic_analysis.sdc

12.6 KB

PLL_ctrl_bb.v

12.5 KB

logic_analysis.sdc.bak

10.9 KB

sampling_ctrl.v.bak

10.9 KB

sampling_ctrl.v

10.9 KB

logic_analysis.asm.rpt

8.8 KB

char_rom_data.mif

8.5 KB

topic_rom.v

6.4 KB

char_rom.v

6.4 KB

logic_analysis.eda.rpt

6.2 KB

logic_analysis.qsf

6.0 KB

topic_rom_data.mif

5.7 KB

topic_rom_bb.v

5.0 KB

char_rom_bb.v

5.0 KB

logic_analysis.v

4.4 KB

logic_analysis.v.bak

4.3 KB

logic_analysis.tan.summary

3.1 KB

topic_rom.bsf

2.7 KB

char_rom.bsf

2.7 KB

para_define.v

2.6 KB

para_define.v.bak

2.6 KB

sys_ctrl.v.bak

2.2 KB

char_rom_data.rar

2.2 KB

sys_ctrl.v

2.2 KB

topic_rom_data.rar

1.6 KB

logic_analysis.sta.summary

1.3 KB

topic_rom_waveforms.html

1.1 KB

char_rom_waveforms.html

1.0 KB

logic_analysis.qpf

0.9 KB

PLL_ctrl_waveforms.html

0.9 KB

PLL_ctrl.ppf

0.5 KB

logic_analysis.qws

0.5 KB

topic_rom.qip

0.5 KB

char_rom.qip

0.5 KB

logic_analysis.fit.summary

0.4 KB

logic_analysis.fit.smsg

0.4 KB

num_rom.qip

0.4 KB

Ch_rom.qip

0.4 KB

PLL_ctrl.qip

0.4 KB

logic_analysis.map.summary

0.3 KB

logic_analysis.dpf

0.2 KB

topic_rom_inst.v

0.1 KB

char_rom_inst.v

0.1 KB

logic_analysis.done

0.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/db/

sdr_test_global_asgn_op.abo

1.9 MB

sdr_test.map.kpt

383.5 KB

sdr_test.cmp.cdb

213.0 KB

sdr_test.sta_cmp.8_slow.tdb

189.9 KB

sdr_test.cmp0.ddb

174.9 KB

prev_cmp_sdr_test.qmsg

155.7 KB

sdr_test.pre_map.cdb

139.3 KB

sdr_test.hier_info

125.0 KB

sdr_test.rtlv_sg.cdb

119.6 KB

sdr_test.sgate.rvd

108.9 KB

prev_cmp_sdr_test.fit.qmsg

71.5 KB

sdr_test.fit.qmsg

71.5 KB

sdr_test.map.qmsg

69.1 KB

prev_cmp_sdr_test.map.qmsg

69.1 KB

sdr_test.sgdiff.hdb

69.1 KB

sdr_test.map.cdb

67.7 KB

sdr_test.sgdiff.cdb

66.9 KB

sdr_test.pre_map.hdb

60.0 KB

sdr_test.rtlv.hdb

59.2 KB

sdr_test.cmp.hdb

50.0 KB

sdr_test.map.hdb

48.3 KB

sdr_test.hif

45.9 KB

sdr_test.(25).cnf.cdb

40.9 KB

sdr_test.cmp.rdb

32.6 KB

sdr_test.sgate_sm.rvd

25.4 KB

altsyncram_jnh1.tdf

21.0 KB

altsyncram_hnh1.tdf

21.0 KB

altsyncram_1lh1.tdf

20.9 KB

sdr_test.(5).cnf.cdb

16.3 KB

sdr_test.map_bb.hdb

14.1 KB

sdr_test.lpc.html

12.8 KB

sdr_test.(8).cnf.cdb

12.6 KB

sdr_test.rtlv_sg_swap.cdb

11.6 KB

sdr_test.sta.qmsg

10.5 KB

prev_cmp_sdr_test.sta.qmsg

10.5 KB

sdr_test.lpc.txt

10.2 KB

altsyncram_f3c1.tdf

9.5 KB

altsyncram_6fa1.tdf

9.3 KB

altsyncram_4qc1.tdf

9.2 KB

dcfifo_35l1.tdf

7.5 KB

sdr_test.(6).cnf.cdb

7.3 KB

sdr_test.sta.rdb

7.0 KB

sdr_test.(12).cnf.cdb

6.7 KB

sdr_test.(22).cnf.cdb

6.1 KB

cntr_mua.tdf

5.2 KB

alt_sync_fifo_vhm.tdf

5.2 KB

alt_sync_fifo_0fm.tdf

5.2 KB

alt_sync_fifo_nhm.tdf

5.2 KB

a_fefifo_ctc.tdf

5.1 KB

alt_sync_fifo_0oi.tdf

5.1 KB

cntr_lua.tdf

5.0 KB

sdr_test.(25).cnf.hdb

5.0 KB

cntr_kua.tdf

4.8 KB

sdr_test.smp_dump.txt

4.7 KB

cntr_cta.tdf

4.5 KB

sdr_test.(0).cnf.cdb

4.3 KB

sdr_test.(4).cnf.cdb

4.2 KB

sdr_test.(24).cnf.cdb

3.7 KB

sdr_test.(7).cnf.cdb

3.6 KB

add_sub_b18.tdf

3.5 KB

add_sub_5g8.tdf

3.4 KB

a_fefifo_htc.tdf

3.4 KB

add_sub_a18.tdf

3.3 KB

add_sub_4g8.tdf

3.2 KB

dcfifo_n6l1.tdf

3.2 KB

dcfifo_2ll1.tdf

3.2 KB

dcfifo_o2l1.tdf

3.2 KB

dcfifo_pkl1.tdf

3.2 KB

add_sub_918.tdf

3.1 KB

sdr_test.(8).cnf.hdb

3.1 KB

add_sub_se8.tdf

3.0 KB

add_sub_gub.tdf

3.0 KB

dcfifo_qgl1.tdf

2.9 KB

sdr_test.(5).cnf.hdb

2.8 KB

a_graycounter_u06.tdf

2.8 KB

sdr_test.(17).cnf.cdb

2.5 KB

sdr_test.(0).cnf.hdb

2.5 KB

dpram_fp31.tdf

2.4 KB

dpram_ep31.tdf

2.4 KB

dpram_6o31.tdf

2.4 KB

prev_cmp_sdr_test.eda.qmsg

2.3 KB

sdr_test.eda.qmsg

2.3 KB

sdr_test.(6).cnf.hdb

2.2 KB

alt_synch_pipe_oc8.tdf

2.1 KB

alt_synch_pipe_pc8.tdf

2.1 KB

sdr_test.(4).cnf.hdb

2.1 KB

sdr_test.(14).cnf.cdb

2.0 KB

sdr_test.asm.qmsg

2.0 KB

prev_cmp_sdr_test.asm.qmsg

2.0 KB

dffpipe_id9.tdf

1.9 KB

dffpipe_jd9.tdf

1.9 KB

sdr_test.(3).cnf.cdb

1.9 KB

sdr_test.(2).cnf.cdb

1.8 KB

sdr_test.rpp.qmsg

1.8 KB

sdr_test.(15).cnf.cdb

1.8 KB

sdr_test.(16).cnf.cdb

1.8 KB

sdr_test.(18).cnf.cdb

1.7 KB

sdr_test.(9).cnf.cdb

1.7 KB

sdr_test.(19).cnf.cdb

1.7 KB

a_gray2bin_q4b.tdf

1.6 KB

sdr_test.(23).cnf.cdb

1.6 KB

dffpipe_gd9.tdf

1.6 KB

sdr_test.(10).cnf.cdb

1.5 KB

sdr_test.(22).cnf.hdb

1.4 KB

sdr_test.(21).cnf.cdb

1.4 KB

sdr_test.(7).cnf.hdb

1.4 KB

sdr_test.(12).cnf.hdb

1.4 KB

sdr_test.(1).cnf.cdb

1.3 KB

sdr_test.(13).cnf.cdb

1.3 KB

sdr_test.(20).cnf.cdb

1.3 KB

sdr_test.(11).cnf.cdb

1.3 KB

sdr_test.(2).cnf.hdb

1.3 KB

sdr_test.(24).cnf.hdb

1.2 KB

sdr_test.(23).cnf.hdb

1.1 KB

sdr_test.(9).cnf.hdb

1.1 KB

sdr_test.(18).cnf.hdb

1.1 KB

sdr_test.(19).cnf.hdb

1.1 KB

sdr_test.cmp.bpm

1.1 KB

sdr_test.(3).cnf.hdb

1.0 KB

sdr_test.map_bb.cdb

1.0 KB

sdr_test.lpc.rdb

0.9 KB

sdr_test.map.bpm

0.9 KB

sdr_test.(17).cnf.hdb

0.8 KB

sdr_test.(14).cnf.hdb

0.8 KB

sdr_test.(1).cnf.hdb

0.8 KB

sdr_test.(20).cnf.hdb

0.8 KB

sdr_test.(16).cnf.hdb

0.7 KB

sdr_test.(21).cnf.hdb

0.7 KB

sdr_test.(15).cnf.hdb

0.7 KB

sdr_test.(10).cnf.hdb

0.6 KB

sdr_test.(13).cnf.hdb

0.6 KB

sdr_test.(11).cnf.hdb

0.6 KB

sdr_test.cbx.xml

0.6 KB

sdr_test.cmp_merge.kpt

0.3 KB

sdr_test.cmp.kpt

0.3 KB

sdr_test.tis_db_list.ddb

0.2 KB

sdr_test.eco.cdb

0.2 KB

sdr_test.sld_design_entry.sci

0.2 KB

sdr_test.sld_design_entry_dsc.sci

0.2 KB

sdr_test.db_info

0.1 KB

sdr_test.cmp.ecobp

0.0 KB

sdr_test.map.ecobp

0.0 KB

sdr_test.map.logdb

0.0 KB

sdr_test.cmp.logdb

0.0 KB

sdr_test.map_bb.logdb

0.0 KB

sdr_test.syn_hier_info

0.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/incremental_db/compiled_partitions/

sdr_test.root_partition.map.kpt

383.5 KB

sdr_test.root_partition.cmp.atm

172.9 KB

sdr_test.root_partition.map.atm

157.8 KB

sdr_test.root_partition.cmp.rcf

65.6 KB

sdr_test.root_partition.merge_hb.atm

41.3 KB

sdr_test.root_partition.map.hdbx

31.6 KB

sdr_test.root_partition.cmp.hdbx

29.8 KB

sdr_test.root_partition.map.dpi

7.5 KB

sdr_test.root_partition.cmp.kpt

0.3 KB

sdr_test.root_partition.cmp.dfp

0.0 KB

sdr_test.root_partition.cmp.logdb

0.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/incremental_db/

README

0.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/

verilog.asm

190.2 KB

_primary.dat

19.9 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/

verilog.asm

14.6 KB

_primary.dat

1.8 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/

verilog.asm

200.5 KB

_primary.dat

19.0 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/

verilog.asm

1.5 KB

_primary.dat

1.3 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@m@f_cycloneiii_pll/

verilog.asm

650.9 KB

_primary.dat

65.7 KB

_primary.vhd

7.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@m@f_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@m@f_stratixiii_pll/

verilog.asm

843.2 KB

_primary.dat

82.3 KB

_primary.vhd

11.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@m@f_stratixii_pll/

verilog.asm

749.2 KB

_primary.dat

74.1 KB

_primary.vhd

7.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/@m@f_stratix_pll/

verilog.asm

754.1 KB

_primary.dat

80.1 KB

_primary.vhd

9.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/alt3pram/

verilog.asm

133.3 KB

_primary.dat

10.6 KB

_primary.vhd

1.9 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altaccumulate/

verilog.asm

51.7 KB

_primary.dat

3.8 KB

_primary.vhd

0.9 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altcam/

verilog.asm

538.9 KB

_primary.dat

47.2 KB

_primary.vhd

1.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altclklock/

verilog.asm

141.1 KB

_primary.dat

14.4 KB

_primary.vhd

1.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altddio_bidir/

verilog.asm

14.1 KB

_primary.dat

1.8 KB

_primary.vhd

1.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altddio_in/

verilog.asm

34.0 KB

_primary.dat

3.4 KB

_primary.vhd

0.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altddio_out/

verilog.asm

35.3 KB

_primary.dat

3.4 KB

_primary.vhd

1.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altdpram/

verilog.asm

151.0 KB

_primary.dat

11.0 KB

_primary.vhd

1.9 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altdq_dqs/

verilog.asm

930.8 KB

_primary.dat

80.4 KB

_primary.vhd

9.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altfp_mult/

verilog.asm

136.9 KB

_primary.dat

11.5 KB

_primary.vhd

1.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altlvds_rx/

verilog.asm

478.6 KB

_primary.dat

34.4 KB

_primary.vhd

3.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altlvds_tx/

verilog.asm

463.3 KB

_primary.dat

32.5 KB

_primary.vhd

1.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altmult_accum/

verilog.asm

592.8 KB

_primary.dat

37.1 KB

_primary.vhd

4.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altmult_add/

verilog.asm

2.0 MB

_primary.dat

125.0 KB

_primary.vhd

10.4 KB

/.../altparallel_flash_loader/

verilog.asm

13.9 KB

_primary.vhd

2.2 KB

_primary.dat

1.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altpll/

verilog.asm

465.8 KB

_primary.dat

41.5 KB

_primary.vhd

18.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altqpram/

verilog.asm

239.9 KB

_primary.dat

17.8 KB

_primary.vhd

3.0 KB

/.../altserial_flash_loader/

verilog.asm

3.9 KB

_primary.vhd

0.6 KB

_primary.dat

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altsource_probe/altshift_taps/

verilog.asm

23.3 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altsource_probe/

verilog.asm

11.2 KB

_primary.vhd

1.5 KB

_primary.dat

1.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altsqrt/

verilog.asm

33.1 KB

_primary.dat

2.7 KB

_primary.vhd

0.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altsquare/

verilog.asm

24.9 KB

_primary.dat

1.7 KB

_primary.vhd

0.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altstratixii_oct/

verilog.asm

2.4 KB

_primary.vhd

0.4 KB

_primary.dat

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/altsyncram/

verilog.asm

645.0 KB

_primary.dat

49.7 KB

_primary.vhd

3.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/arm_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/arm_n_cntr/

verilog.asm

8.7 KB

_primary.dat

1.0 KB

_primary.vhd

0.3 KB

/.../arm_scale_cntr/

verilog.asm

12.6 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/a_graycounter/

verilog.asm

10.6 KB

_primary.dat

0.9 KB

_primary.vhd

0.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cda_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cda_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../cda_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_and1/

verilog.asm

2.2 KB

_primary.dat

0.2 KB

_primary.vhd

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_and16/

verilog.asm

5.7 KB

_primary.dat

0.8 KB

_primary.vhd

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_asmiblock/

verilog.asm

2.6 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../cyclone_asynch_io/

verilog.asm

21.2 KB

_primary.dat

2.3 KB

_primary.vhd

0.5 KB

/.../cyclone_asynch_lcell/

verilog.asm

72.8 KB

_primary.dat

6.0 KB

_primary.vhd

1.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_b17mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_b5mux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_bmux21/

verilog.asm

2.9 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_crcblock/

verilog.asm

2.9 KB

_primary.vhd

0.4 KB

_primary.dat

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_dffe/

verilog.asm

10.0 KB

_primary.dat

1.0 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_dll/

verilog.asm

46.6 KB

_primary.dat

4.7 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_io/

verilog.asm

45.9 KB

_primary.dat

3.9 KB

_primary.vhd

1.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_jtag/

verilog.asm

5.5 KB

_primary.vhd

0.8 KB

_primary.dat

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_latch/

verilog.asm

8.3 KB

_primary.dat

0.9 KB

_primary.vhd

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_lcell/

verilog.asm

13.6 KB

_primary.dat

1.7 KB

_primary.vhd

1.6 KB

/.../cyclone_lcell_register/

verilog.asm

26.8 KB

_primary.dat

3.1 KB

_primary.vhd

0.9 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_mux21/

verilog.asm

5.7 KB

_primary.dat

0.5 KB

_primary.vhd

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_mux41/

verilog.asm

8.3 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_nmux21/

verilog.asm

2.8 KB

_primary.vhd

0.3 KB

_primary.dat

0.2 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/cyclone_pll/

verilog.asm

754.5 KB

_primary.dat

80.2 KB

_primary.vhd

9.7 KB

/.../cyclone_pll_reg/

verilog.asm

4.5 KB

_primary.dat

0.5 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_block/

verilog.asm

201.5 KB

_primary.dat

15.6 KB

_primary.vhd

3.5 KB

/.../cyclone_ram_pulse_generator/

verilog.asm

6.4 KB

_primary.dat

0.7 KB

_primary.vhd

0.4 KB

/.../cyclone_ram_register/

verilog.asm

14.4 KB

_primary.dat

1.4 KB

_primary.vhd

0.6 KB

/.../cyclone_routing_wire/

verilog.asm

3.0 KB

_primary.dat

0.3 KB

_primary.vhd

0.2 KB

/.../cyclone_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dcfifo/

verilog.asm

18.9 KB

_primary.dat

2.1 KB

_primary.vhd

1.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dcfifo_async/

verilog.asm

96.4 KB

_primary.dat

8.3 KB

_primary.vhd

1.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dcfifo_dffpipe/

verilog.asm

12.8 KB

_primary.dat

0.8 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dcfifo_fefifo/

verilog.asm

22.0 KB

_primary.dat

2.1 KB

_primary.vhd

0.6 KB

/.../dcfifo_low_latency/

verilog.asm

144.7 KB

_primary.dat

10.2 KB

_primary.vhd

1.5 KB

/.../dcfifo_mixed_widths/

verilog.asm

195.2 KB

_primary.dat

10.8 KB

_primary.vhd

1.6 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dcfifo_sync/

verilog.asm

76.9 KB

_primary.dat

5.5 KB

_primary.vhd

1.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dffp/

verilog.asm

4.0 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/dummy_hub/

verilog.asm

57.1 KB

_primary.dat

4.0 KB

_primary.vhd

2.4 KB

/.../flexible_lvds_rx/

verilog.asm

127.5 KB

_primary.dat

8.6 KB

_primary.vhd

1.0 KB

/.../flexible_lvds_tx/

verilog.asm

160.5 KB

_primary.dat

10.5 KB

_primary.vhd

0.9 KB

/.../jtag_tap_controller/

verilog.asm

39.0 KB

_primary.dat

5.4 KB

_primary.vhd

1.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/lcell/

verilog.asm

1.5 KB

_primary.vhd

0.2 KB

_primary.dat

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/parallel_add/

verilog.asm

50.8 KB

_primary.dat

2.9 KB

_primary.vhd

0.8 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/pll_iobuf/

verilog.asm

3.4 KB

_primary.vhd

0.3 KB

_primary.dat

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/print_task/

verilog.asm

6.3 KB

_primary.dat

0.5 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/scfifo/

verilog.asm

141.9 KB

_primary.dat

12.8 KB

_primary.vhd

1.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/sdram/

verilog.asm

279.6 KB

_primary.dat

33.7 KB

_primary.vhd

0.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/sdr_test/

verilog.asm

2.2 MB

_primary.dat

613.5 KB

_primary.vhd

1.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/signal_gen/

verilog.asm

94.1 KB

_primary.dat

9.8 KB

_primary.vhd

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/sld_signaltap/

verilog.asm

22.5 KB

_primary.vhd

3.6 KB

_primary.dat

2.9 KB

/.../sld_virtual_jtag/

verilog.asm

20.7 KB

_primary.dat

2.6 KB

_primary.vhd

1.8 KB

/.../stratixgx_dpa_lvds_rx/

verilog.asm

117.0 KB

_primary.dat

8.5 KB

_primary.vhd

0.8 KB

/.../stratixiii_lvds_rx/

verilog.asm

264.5 KB

_primary.dat

33.7 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_channel/

verilog.asm

62.3 KB

_primary.dat

6.8 KB

_primary.vhd

1.6 KB

/.../stratixiii_lvds_rx_dpa/

verilog.asm

43.4 KB

_primary.dat

4.1 KB

_primary.vhd

0.9 KB

/.../stratixii_lvds_rx/

verilog.asm

80.9 KB

_primary.dat

6.6 KB

_primary.vhd

1.2 KB

/.../stratixii_tx_outclk/

verilog.asm

14.9 KB

_primary.dat

1.2 KB

_primary.vhd

0.5 KB

/.../stratix_lvds_rx/

verilog.asm

17.7 KB

_primary.dat

1.3 KB

_primary.vhd

0.4 KB

/.../stratix_tx_outclk/

verilog.asm

15.9 KB

_primary.dat

1.3 KB

_primary.vhd

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/stx_m_cntr/

verilog.asm

8.1 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/stx_n_cntr/

verilog.asm

10.1 KB

_primary.dat

1.1 KB

_primary.vhd

0.4 KB

/.../stx_scale_cntr/

verilog.asm

15.2 KB

_primary.dat

1.8 KB

_primary.vhd

0.6 KB

/.../sys_ctrl_task/

verilog.asm

4.9 KB

_primary.dat

0.4 KB

_primary.vhd

0.3 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/tb_sdrtest/

verilog.asm

27.6 KB

_primary.dat

3.7 KB

_primary.vhd

0.1 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/ttn_m_cntr/

verilog.asm

8.2 KB

_primary.dat

0.9 KB

_primary.vhd

0.4 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/ttn_n_cntr/

verilog.asm

6.2 KB

_primary.dat

0.7 KB

_primary.vhd

0.3 KB

/.../ttn_scale_cntr/

verilog.asm

12.7 KB

_primary.dat

1.4 KB

_primary.vhd

0.5 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/cyclone/

_info

27.7 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/simulation/modelsim/

vsim.wlf

5.3 MB

altera_mf.v

2.3 MB

sdr_test.vo

1.8 MB

sdr_test_v.sdo

881.6 KB

cyclone_atoms.v

244.8 KB

sdr_test_modelsim.xrf

122.1 KB

transcript

38.1 KB

sdram_test.mpf

15.4 KB

sdram_test.cr.mti

5.8 KB

tb_sdrtest.v

5.8 KB

tb_sdrtest.v.bak

5.8 KB

tb_sdrtest.rar

2.0 KB

sys_ctrl_task.v

1.4 KB

sys_ctrl_task.v.bak

1.4 KB

print_task.v

1.3 KB

sdr_test.sft

0.1 KB

write_232rx_file.txt

0.0 KB

/.../ex16_sd_vga_photo/sdram_mdl0822/

PLL_ctrl_wave0.jpg

819.8 KB

sdr_test.map.rpt

189.9 KB

sdr_test.fit.rpt

163.5 KB

sdr_test.pof

131.3 KB

rgb_ram_wave1.jpg

130.8 KB

wrfifo_wave0.jpg

108.9 KB

rdfifo_wave0.jpg

108.9 KB

rgb_ram_wave0.jpg

105.2 KB

sdr_test.sta.rpt

88.6 KB

sdr_test.sof

74.1 KB

sdr_test_assignment_defaults.qdf

42.5 KB

sdr_test.sdc.bak

22.1 KB

sdr_test.sdc

22.1 KB

sdr_test.pin

20.3 KB

sd_ctrl.v.bak

18.9 KB

sd_ctrl.v

18.9 KB

PLL_ctrl.v

17.9 KB

PLL_ctrl_bb.v

13.6 KB

sdr_test.flow.rpt

12.7 KB

sdram_ctrl.v

10.5 KB

sdram_ctrl.v.bak

10.5 KB

sdr_test.asm.rpt

8.2 KB

sdfifo_ctrl.v

7.3 KB

sdfifo_ctrl.v.bak

7.3 KB

rgb_ram.v

7.1 KB

wrfifo.v

6.9 KB

rdfifo.v

6.9 KB

sdr_test.qsf

6.7 KB

sdr_test.eda.rpt

5.9 KB

wrfifo_bb.v

5.8 KB

rdfifo_bb.v

5.8 KB

sdr_test.v

5.8 KB

sdr_test.v.bak

5.7 KB

rgb_ram_bb.v

5.7 KB

sdram_cmd.v.bak

5.6 KB

sdram_cmd.v

5.6 KB

sdram_top.v

4.5 KB

sdram_top.v.bak

4.5 KB

PLL_ctrl.bsf

4.4 KB

rgb_ram.bsf

4.3 KB

UNUSED

4.0 KB

spi_ctrl.v.bak

3.8 KB

spi_ctrl.v

3.8 KB

wrfifo.bsf

3.4 KB

rdfifo.bsf

3.4 KB

vga_ctrl.v

3.4 KB

vga_ctrl.v.bak

3.4 KB

datagene.v.bak

3.3 KB

sdr_para.v

3.2 KB

sdr_para.v.bak

3.2 KB

uart_tx.v

2.6 KB

sdram_wr_data.v

2.6 KB

sdram_wr_data.v.bak

2.6 KB

sdrsvgaprj.v.bak

2.5 KB

sdrsvgaprj.v

2.5 KB

sdcard_ctrl.v

2.3 KB

sdcard_ctrl.v.bak

2.2 KB

sys_ctrl.v.bak

2.2 KB

sys_ctrl.v

2.2 KB

uart_speed_select.v

2.1 KB

sdr_test.sta.summary

1.7 KB

uart_ctrl.v

1.6 KB

rgb_ram_waveforms.html

1.6 KB

sdr_test.rar

1.1 KB

sdr_test.qpf

0.9 KB

PLL_ctrl_waveforms.html

0.9 KB

rdfifo_waveforms.html

0.8 KB

wrfifo_waveforms.html

0.8 KB

PLL_ctrl.ppf

0.6 KB

PLL_ctrl.qip

0.5 KB

sdr_test.qws

0.5 KB

时序分析.txt

0.5 KB

rgb_ram.qip

0.5 KB

rdfifo.qip

0.4 KB

wrfifo.qip

0.4 KB

sdr_test.fit.summary

0.4 KB

sdr_test.fit.smsg

0.4 KB

sdr_test.cdf

0.4 KB

sdr_test.map.summary

0.3 KB

sdr_test.dpf

0.2 KB

wrfifo_inst.v

0.2 KB

rdfifo_inst.v

0.2 KB

PLL_ctrl_inst.v

0.2 KB

rgb_ram_inst.v

0.1 KB

sdr_test.done

0.0 KB

/深入浅出玩转fpga/

BJ-EPM240V2原理图.pdf

1.3 MB

SF-EP1V2 FPGA开发板原理图.pdf

843.0 KB

光盘说明.txt

0.4 KB

/深入浅出1/EX1/clkdivverilog/.sopc_builder/

install.ptf

7.3 KB

/深入浅出1/EX1/clkdivverilog/db/

clkdiv_global_asgn_op.abo

33.6 KB

clkdiv.cmp0.ddb

25.6 KB

prev_cmp_clkdiv.qmsg

22.1 KB

clkdiv.fit.qmsg

21.5 KB

clkdiv.tan.qmsg

20.2 KB

clkdiv.cmp.rdb

14.9 KB

prev_cmp_clkdiv.fit.qmsg

12.4 KB

clkdiv.cmp.cdb

7.5 KB

clkdiv.cmp.hdb

7.5 KB

clkdiv.cmp.tdb

7.4 KB

clkdiv.map.hdb

7.1 KB

clkdiv.sgdiff.hdb

7.1 KB

clkdiv.pre_map.hdb

6.8 KB

clkdiv.rtlv.hdb

6.8 KB

prev_cmp_clkdiv.map.qmsg

4.5 KB

clkdiv.map.qmsg

3.2 KB

prev_cmp_clkdiv.tan.qmsg

2.8 KB

clkdiv.map.cdb

2.8 KB

clkdiv.asm.qmsg

2.2 KB

prev_cmp_clkdiv.asm.qmsg

2.2 KB

clkdiv.sgdiff.cdb

2.0 KB

clkdiv.(0).cnf.cdb

1.7 KB

clkdiv.pre_map.cdb

1.7 KB

clkdiv.rtlv_sg.cdb

1.6 KB

clkdiv.lpc.txt

1.1 KB

clkdiv.hier_info

0.9 KB

clkdiv.asm_labs.ddb

0.8 KB

clkdiv.(0).cnf.hdb

0.8 KB

clkdiv.hif

0.7 KB

clkdiv.lpc.html

0.4 KB

clkdiv.lpc.rdb

0.4 KB

clkdiv.cmp.kpt

0.3 KB

clkdiv.rtlv_sg_swap.cdb

0.2 KB

clkdiv.tis_db_list.ddb

0.2 KB

clkdiv.eco.cdb

0.2 KB

clkdiv.sld_design_entry.sci

0.2 KB

clkdiv.sld_design_entry_dsc.sci

0.2 KB

clkdiv.db_info

0.1 KB

clkdiv.cbx.xml

0.1 KB

clkdiv.cmp.logdb

0.0 KB

clkdiv.map.logdb

0.0 KB

clkdiv.syn_hier_info

0.0 KB

/深入浅出1/EX1/clkdivverilog/incremental_db/compiled_partitions/

clkdiv.root_partition.map.kpt

11.0 KB

/深入浅出1/EX1/clkdivverilog/incremental_db/

README

0.7 KB

/深入浅出1/EX1/clkdivverilog/

clkdiv.fit.rpt

60.2 KB

clkdiv.tan.rpt

59.7 KB

clkdiv_assignment_defaults.qdf

40.2 KB

clkdiv.map.rpt

15.9 KB

clkdiv.pin

15.4 KB

clkdiv.pof

7.9 KB

clkdiv.asm.rpt

7.5 KB

clkdiv.flow.rpt

7.3 KB

clkdiv.qsf

2.2 KB

clkdiv.v

1.2 KB

clkdiv.v.bak

1.1 KB

clkdiv.tan.summary

1.0 KB

clkdiv.qpf

0.9 KB

clkdiv.qws

0.5 KB

clkdiv.fit.summary

0.4 KB

clkdiv.fit.smsg

0.3 KB

clkdiv.cdf

0.3 KB

clkdiv.map.summary

0.3 KB

clkdiv.dpf

0.2 KB

clkdiv.done

0.0 KB

sopc_builder_debug_log.txt

0.0 KB

/深入浅出10/EX10/verilogsram/db/

prev_cmp_sram_test.qmsg

71.8 KB

prev_cmp_sram_test.tan.qmsg

36.4 KB

prev_cmp_sram_test.fit.qmsg

25.1 KB

prev_cmp_sram_test.map.qmsg

5.4 KB

prev_cmp_sram_test.eda.qmsg

2.4 KB

prev_cmp_sram_test.asm.qmsg

2.2 KB

sram_test.eco.cdb

0.2 KB

sram_test.sld_design_entry.sci

0.2 KB

sram_test.db_info

0.1 KB

/深入浅出10/EX10/verilogsram/incremental_db/compiled_partitions/

sram_test.root_partition.map.kpt

37.4 KB

/深入浅出10/EX10/verilogsram/incremental_db/

README

0.7 KB

/深入浅出10/EX10/verilogsram/simulation/modelsim/

sram_test.vo

102.0 KB

sram_test_v.sdo

87.9 KB

maxii_atoms.v

51.9 KB

sram_test_modelsim.xrf

6.7 KB

tb_sramtest.v

0.6 KB

tb_sramtest.v.bak

0.2 KB

sram_test.sft

0.1 KB

/深入浅出10/EX10/verilogsram/

sram_test.tan.rpt

67.8 KB

sram_test.fit.rpt

63.7 KB

sram_test_assignment_defaults.qdf

40.2 KB

sram_test.map.rpt

21.0 KB

sram_test.pin

15.4 KB

sram_test.asm.rpt

8.1 KB

sram_test.pof

7.9 KB

sram_test.flow.rpt

7.7 KB

sram_test.eda.rpt

6.2 KB

sram_test.v

3.8 KB

sram_test.v.bak

3.8 KB

sram_test.qsf

3.7 KB

sram_test.tan.summary

1.4 KB

sram_test.qpf

0.9 KB

sram_test.qws

0.5 KB

sram_test.fit.summary

0.4 KB

sram_test.fit.smsg

0.3 KB

sram_test.cdf

0.3 KB

sram_test.map.summary

0.3 KB

sram_test.dpf

0.2 KB

sram_test.done

0.0 KB

/深入浅出11/EX11/myosctest/db/

prev_cmp_myosctest.qmsg

26.7 KB

prev_cmp_myosctest.fit.qmsg

16.2 KB

myosctest.cmp0.ddb

15.8 KB

myosctest.fit.qmsg

15.7 KB

myosctest.cmp.rdb

12.3 KB

myosctest_global_asgn_op.abo

8.1 KB

myosctest.sgdiff.hdb

7.7 KB

myosctest.pre_map.hdb

7.6 KB

myosctest.rtlv.hdb

7.6 KB

myosctest.cmp.hdb

7.4 KB

myosctest.map.hdb

7.3 KB

myosctest.map.qmsg

5.3 KB

prev_cmp_myosctest.map.qmsg

5.3 KB

prev_cmp_myosctest.tan.qmsg

2.8 KB

myosctest.tan.qmsg

2.6 KB

myosctest.eda.qmsg

2.4 KB

prev_cmp_myosctest.eda.qmsg

2.4 KB

myosctest.cmp.cdb

2.2 KB

myosctest.asm.qmsg

2.2 KB

prev_cmp_myosctest.asm.qmsg

2.2 KB

wed.wsf

2.2 KB

myosctest.lpc.txt

2.0 KB

myosctest.hif

1.9 KB

myosctest.rtlv_sg.cdb

1.6 KB

myosctest.cmp.tdb

1.5 KB

myosctest.pre_map.cdb

1.4 KB

myosctest.map.cdb

1.3 KB

myosctest.lpc.html

1.2 KB

myosctest.sgdiff.cdb

1.1 KB

myosctest.(0).cnf.cdb

1.1 KB

myosctest.(2).cnf.hdb

0.7 KB

myosctest.(0).cnf.hdb

0.7 KB

myosctest.(2).cnf.cdb

0.7 KB

myosctest.(1).cnf.cdb

0.7 KB

myosctest.(1).cnf.hdb

0.6 KB

myosctest.asm_labs.ddb

0.5 KB

myosctest.rtlv_sg_swap.cdb

0.5 KB

myosctest.lpc.rdb

0.5 KB

myosctest.hier_info

0.4 KB

myosctest.cmp.kpt

0.3 KB

myosctest.tis_db_list.ddb

0.2 KB

myosctest.eco.cdb

0.2 KB

myosctest.sld_design_entry.sci

0.2 KB

myosctest.sld_design_entry_dsc.sci

0.2 KB

myosctest.db_info

0.1 KB

myosctest.cbx.xml

0.1 KB

myosctest.map.logdb

0.0 KB

myosctest.cmp.logdb

0.0 KB

myosctest.syn_hier_info

0.0 KB

/深入浅出11/EX11/myosctest/incremental_db/compiled_partitions/

myosctest.root_partition.map.kpt

1.8 KB

/深入浅出11/EX11/myosctest/incremental_db/

README

0.7 KB

/深入浅出11/EX11/myosctest/simulation/modelsim/

myosctest.vo

6.8 KB

myosctest_v.sdo

4.7 KB

myosctest_modelsim.xrf

1.1 KB

tb_myosctest.v

0.3 KB

myosctest.sft

0.1 KB

/深入浅出11/EX11/myosctest/

myosctest.fit.rpt

62.2 KB

myosctest_assignment_defaults.qdf

42.5 KB

myosctest.map.rpt

22.6 KB

myosctest.pin

15.4 KB

myosctest.flow.rpt

8.0 KB

myosctest.pof

7.9 KB

myosctest.asm.rpt

7.5 KB

myosctest.tan.rpt

6.4 KB

myosctest.eda.rpt

6.3 KB

internal_osc.v

4.3 KB

vwf_myosctest.vwf

4.1 KB

internal_osc_bb.v

2.7 KB

myosctest.qsf

2.4 KB

internal_osc.bsf

1.9 KB

myosctest.v

1.0 KB

myosctest.qpf

0.9 KB

myosctest.qws

0.5 KB

internal_osc.qip

0.5 KB

myosctest.v.bak

0.5 KB

myosctest.fit.summary

0.4 KB

myosctest.fit.smsg

0.3 KB

myosctest.map.summary

0.3 KB

tb_myosctest.v.bak

0.3 KB

internal_osc_inst.v

0.1 KB

myosctest.done

0.0 KB

/深入浅出12/EX12/UFTtest/db/

prev_cmp_ufmtest.qmsg

70.3 KB

prev_cmp_ufmtest.fit.qmsg

46.5 KB

prev_cmp_ufmtest.map.qmsg

11.0 KB

prev_cmp_ufmtest.tan.qmsg

8.1 KB

cntr_dsj.tdf

4.4 KB

prev_cmp_ufmtest.eda.qmsg

2.3 KB

prev_cmp_ufmtest.asm.qmsg

2.2 KB

cmpr_2vb.tdf

1.9 KB

ufmtest.eco.cdb

0.2 KB

ufmtest.sld_design_entry.sci

0.2 KB

ufmtest.db_info

0.1 KB

/深入浅出12/EX12/UFTtest/incremental_db/compiled_partitions/

ufmtest.root_partition.map.kpt

37.5 KB

/深入浅出12/EX12/UFTtest/incremental_db/

README

0.7 KB

/深入浅出12/EX12/UFTtest/simulation/modelsim/

ufmtest.vo

191.8 KB

ufmtest_v.sdo

103.0 KB

maxii_atoms.v

51.9 KB

ufmtest_modelsim.xrf

18.3 KB

tb_ufmtest.v

1.3 KB

tb_ufmtest.v.bak

0.9 KB

ufmtest.sft

0.1 KB

/深入浅出12/EX12/UFTtest/

ufmtest.fit.rpt

92.7 KB

ufmtest_assignment_defaults.qdf

42.5 KB

ufmtest.map.rpt

36.3 KB

para_ufm.v

21.0 KB

ufmtest.pin

15.4 KB

ufmtest.pof

15.0 KB

ufmtest.tan.rpt

9.5 KB

ufmtest.flow.rpt

9.3 KB

ufmtest.asm.rpt

7.1 KB

ufmtest.eda.rpt

5.7 KB

para_ufm_bb.v

4.2 KB

para_ufm.bsf

3.3 KB

ufmtest.qsf

2.7 KB

ufmtest.v

1.3 KB

ufmtest.qpf

0.9 KB

ufmtest.v.bak

0.8 KB

ufmtest.tan.summary

0.7 KB

ufmtest.qws

0.5 KB

para_ufm.qip

0.5 KB

ufmtest.fit.summary

0.4 KB

ufmtest.fit.smsg

0.3 KB

ufmtest.map.summary

0.3 KB

para_ufm_inst.v

0.2 KB

ufmtest.dpf

0.2 KB

ufmtest.map.smsg

0.1 KB

ufmtest.done

0.0 KB

/深入浅出13/EX13/modelsim_test/db/

modelsim_test.eco.cdb

0.2 KB

modelsim_test.sld_design_entry.sci

0.2 KB

modelsim_test.db_info

0.1 KB

/深入浅出13/EX13/modelsim_test/simulation/modelsim/

maxii_atoms.v

51.8 KB

modelsim_test.vo

3.0 KB

modelsim_test_v.sdo

2.5 KB

vtf_test.v.bak

0.9 KB

vtf_test.v

0.9 KB

modelsim_test_modelsim.xrf

0.4 KB

/深入浅出13/EX13/modelsim_test/

modelsim_test.fit.rpt

49.9 KB

modelsim_test_assignment_defaults.qdf

42.6 KB

modelsim_test.map.rpt

15.6 KB

modelsim_test.pin

14.7 KB

modelsim_test.tan.rpt

11.9 KB

modelsim_test.eda.rpt

5.6 KB

modelsim_test.asm.rpt

5.5 KB

modelsim_test.flow.rpt

4.9 KB

modelsim_test.fit.smsg

4.1 KB

modelsim_test.qsf

1.9 KB

modelsim_test.tan.summary

1.0 KB

modelsim_test.qpf

0.9 KB

modelsim_test.v

0.8 KB

modelsim_test.qws

0.5 KB

modelsim_test.fit.summary

0.4 KB

modelsim_test.map.summary

0.3 KB

modelsim_test.v.bak

0.3 KB

modelsim_test.done

0.0 KB

/深入浅出2/EX2/keyscanverilog/db/

sw_debounce_global_asgn_op.abo

55.5 KB

prev_cmp_sw_debounce.tan.qmsg

32.8 KB

sw_debounce.tan.qmsg

32.8 KB

sw_debounce.cmp0.ddb

31.2 KB

sw_debounce.fit.qmsg

21.2 KB

prev_cmp_sw_debounce.fit.qmsg

21.2 KB

sw_debounce.cmp.rdb

15.7 KB

sw_debounce.cmp.cdb

11.1 KB

sw_debounce.cmp.tdb

9.8 KB

sw_debounce.cmp.hdb

8.2 KB

sw_debounce.sgdiff.hdb

7.9 KB

sw_debounce.map.hdb

7.8 KB

sw_debounce.pre_map.hdb

7.5 KB

sw_debounce.rtlv.hdb

7.5 KB

sw_debounce.map.qmsg

4.0 KB

sw_debounce.map.cdb

3.9 KB

sw_debounce.(0).cnf.cdb

3.3 KB

sw_debounce.sgdiff.cdb

3.1 KB

prev_cmp_sw_debounce.qmsg

3.1 KB

sw_debounce.pre_map.cdb

3.0 KB

sw_debounce.rtlv_sg.cdb

2.9 KB

prev_cmp_sw_debounce.map.qmsg

2.8 KB

sw_debounce.asm.qmsg

2.2 KB

prev_cmp_sw_debounce.asm.qmsg

2.2 KB

sw_debounce.hier_info

1.9 KB

sw_debounce.(0).cnf.hdb

1.2 KB

sw_debounce.asm_labs.ddb

1.1 KB

sw_debounce.lpc.txt

1.1 KB

sw_debounce.hif

0.8 KB

sw_debounce.lpc.html

0.4 KB

sw_debounce.lpc.rdb

0.4 KB

sw_debounce.cmp.kpt

0.3 KB

sw_debounce.rtlv_sg_swap.cdb

0.2 KB

sw_debounce.tis_db_list.ddb

0.2 KB

sw_debounce.eco.cdb

0.2 KB

sw_debounce.sld_design_entry.sci

0.2 KB

sw_debounce.sld_design_entry_dsc.sci

0.2 KB

sw_debounce.db_info

0.1 KB

sw_debounce.cbx.xml

0.1 KB

sw_debounce.map.logdb

0.0 KB

sw_debounce.cmp.logdb

0.0 KB

sw_debounce.syn_hier_info

0.0 KB

/深入浅出2/EX2/keyscanverilog/incremental_db/compiled_partitions/

sw_debounce.root_partition.map.kpt

19.9 KB

/深入浅出2/EX2/keyscanverilog/incremental_db/

README

0.7 KB

/深入浅出2/EX2/keyscanverilog/

sw_debounce.tan.rpt

65.0 KB

sw_debounce.fit.rpt

61.9 KB

sw_debounce_assignment_defaults.qdf

40.2 KB

sw_debounce.map.rpt

17.1 KB

sw_debounce.pin

15.4 KB

sw_debounce.pof

7.9 KB

sw_debounce.asm.rpt

7.6 KB

sw_debounce.flow.rpt

6.8 KB

sw_debounce.v

2.8 KB

sw_debounce.v.bak

2.7 KB

sw_debounce.qsf

2.6 KB

sw_debounce.tan.summary

1.4 KB

sw_debounce.qpf

0.9 KB

sw_debounce.qws

0.5 KB

sw_debounce.fit.summary

0.4 KB

sw_debounce.fit.smsg

0.3 KB

sw_debounce.cdf

0.3 KB

sw_debounce.map.summary

0.3 KB

sw_debounce.dpf

0.2 KB

transcript

0.1 KB

sw_debounce.done

0.0 KB

/深入浅出3/EX3/johnsonverilog/db/

prev_cmp_johnson.qmsg

60.9 KB

prev_cmp_johnson.tan.qmsg

33.4 KB

prev_cmp_johnson.fit.qmsg

17.5 KB

prev_cmp_johnson.map.qmsg

4.4 KB

prev_cmp_johnson.asm.qmsg

2.2 KB

johnson.eco.cdb

0.2 KB

johnson.sld_design_entry.sci

0.2 KB

johnson.db_info

0.1 KB

/深入浅出3/EX3/johnsonverilog/incremental_db/compiled_partitions/

johnson.root_partition.map.kpt

21.0 KB

/深入浅出3/EX3/johnsonverilog/incremental_db/

README

0.7 KB

/深入浅出3/EX3/johnsonverilog/

johnson.tan.rpt

65.5 KB

johnson.fit.rpt

53.6 KB

johnson_assignment_defaults.qdf

40.2 KB

johnson.map.rpt

17.6 KB

johnson.pin

15.4 KB

johnson.asm.rpt

8.0 KB

johnson.pof

7.9 KB

johnson.flow.rpt

6.8 KB

johnson.qsf

2.6 KB

johnson.v

2.4 KB

johnson.v.bak

2.3 KB

johnson.tan.summary

1.4 KB

johnson.qpf

0.9 KB

johnson.qws

0.5 KB

johnson.fit.summary

0.4 KB

johnson.fit.smsg

0.3 KB

johnson.cdf

0.3 KB

johnson.map.summary

0.3 KB

johnson.dpf

0.2 KB

johnson.done

0.0 KB

/深入浅出4/EX4/verilogled7/db/

prev_cmp_led_seg7.qmsg

33.7 KB

prev_cmp_led_seg7.tan.qmsg

22.2 KB

prev_cmp_led_seg7.fit.qmsg

19.4 KB

prev_cmp_led_seg7.map.qmsg

3.9 KB

prev_cmp_led_seg7.asm.qmsg

2.2 KB

led_seg7.eco.cdb

0.2 KB

led_seg7.sld_design_entry.sci

0.2 KB

led_seg7.db_info

0.1 KB

/深入浅出4/EX4/verilogled7/incremental_db/compiled_partitions/

led_seg7.root_partition.map.kpt

15.6 KB

/深入浅出4/EX4/verilogled7/incremental_db/

README

0.7 KB

/深入浅出4/EX4/verilogled7/

led_seg7.tan.rpt

61.0 KB

led_seg7.fit.rpt

54.0 KB

led_seg7_assignment_defaults.qdf

40.2 KB

led_seg7.map.rpt

18.5 KB

led_seg7.pin

15.4 KB

led_seg7.asm.rpt

7.9 KB

led_seg7.pof

7.9 KB

led_seg7.flow.rpt

6.8 KB

led_seg7.qsf

2.7 KB

led_seg7.v

2.4 KB

led_seg7.v.bak

2.3 KB

led_seg7.tan.summary

1.0 KB

led_seg7.qpf

0.9 KB

led_seg7.qws

0.5 KB

led_seg7.fit.summary

0.4 KB

led_seg7.fit.smsg

0.3 KB

led_seg7.cdf

0.3 KB

led_seg7.map.summary

0.3 KB

transcript

0.3 KB

led_seg7.dpf

0.2 KB

led_seg7.done

0.0 KB

/深入浅出5/EX5/mux16/db/

mux16_global_asgn_op.abo

183.1 KB

prev_cmp_mux16.qmsg

115.1 KB

prev_cmp_mux16.fit.qmsg

72.5 KB

mux16.fit.qmsg

72.5 KB

mux16.cmp0.ddb

66.0 KB

mux16.cmp.cdb

33.7 KB

mux16.tan.qmsg

33.1 KB

prev_cmp_mux16.tan.qmsg

33.1 KB

mux16.cmp.tdb

32.7 KB

mux16.cmp.rdb

22.0 KB

wed.wsf

16.8 KB

mux16.cmp.hdb

10.0 KB

mux16.sgdiff.hdb

9.5 KB

mux16.ae.hdb

9.3 KB

mux16.pre_map.hdb

9.0 KB

mux16.rtlv.hdb

9.0 KB

mux16.map.hdb

8.9 KB

mux16.(0).cnf.cdb

8.4 KB

mux16.sgdiff.cdb

7.9 KB

mux16.map.cdb

7.9 KB

mux16.hier_info

7.2 KB

mux16.pre_map.cdb

7.1 KB

mux16.rtlv_sg.cdb

7.0 KB

mux16.map.qmsg

3.7 KB

prev_cmp_mux16.map.qmsg

3.7 KB

mux16.sgate.rvd

3.6 KB

mux16.sim.cvwf

2.4 KB

mux16.asm_labs.ddb

2.4 KB

mux16.eda.qmsg

2.3 KB

prev_cmp_mux16.eda.qmsg

2.3 KB

prev_cmp_mux16.asm.qmsg

2.2 KB

mux16.asm.qmsg

2.2 KB

mux16.rpp.qmsg

1.8 KB

mux16.(0).cnf.hdb

1.7 KB

mux16.lpc.txt

1.1 KB

mux16.hif

0.7 KB

mux16.lpc.html

0.4 KB

mux16.lpc.rdb

0.4 KB

mux16.cmp.kpt

0.3 KB

mux16.sgate_sm.rvd

0.2 KB

mux16.tis_db_list.ddb

0.2 KB

mux16.rtlv_sg_swap.cdb

0.2 KB

mux16.eco.cdb

0.2 KB

mux16.sld_design_entry_dsc.sci

0.2 KB

mux16.sld_design_entry.sci

0.2 KB

mux16.db_info

0.1 KB

mux16.cbx.xml

0.1 KB

mux16.map.logdb

0.0 KB

mux16.cmp.logdb

0.0 KB

mux16.syn_hier_info

0.0 KB

/深入浅出5/EX5/mux16/incremental_db/compiled_partitions/

mux16.root_partition.map.kpt

36.1 KB

/深入浅出5/EX5/mux16/incremental_db/

README

0.7 KB

/深入浅出5/EX5/mux16/simulation/modelsim/

mux16.vo

138.7 KB

mux16_v.sdo

127.5 KB

maxii_atoms.v

51.8 KB

mux16_modelsim.xrf

9.5 KB

vtf_test.v

1.5 KB

vtf_test.v.bak

1.1 KB

mux16.sft

0.1 KB

/深入浅出5/EX5/mux16/

mux16.fit.rpt

88.2 KB

mux16.tan.rpt

81.8 KB

mux16_assignment_defaults.qdf

42.6 KB

mux16.sim.rpt

36.3 KB

mux16.vwf

29.0 KB

mux16.map.rpt

17.5 KB

mux16.pin

15.4 KB

mux16.pof

7.9 KB

mux16.asm.rpt

7.3 KB

mux16.flow.rpt

6.8 KB

mux16.eda.rpt

6.2 KB

mux16.v

2.4 KB

mux16.v.bak

2.3 KB

mux16.qsf

2.2 KB

mux16.tan.summary

1.4 KB

mux16.map.smsg

0.9 KB

mux16.qpf

0.9 KB

mux16.qws

0.5 KB

mux16.fit.summary

0.4 KB

mux16.fit.smsg

0.3 KB

mux16.map.summary

0.3 KB

mux16.done

0.0 KB

/深入浅出6/EX6/verilogvga/db/

vga_dis_global_asgn_op.abo

127.7 KB

vga_dis.cmp0.ddb

51.7 KB

vga_dis.fit.qmsg

36.3 KB

vga_dis.tan.qmsg

32.2 KB

prev_cmp_vga_dis.tan.qmsg

31.4 KB

vga_dis.cmp.cdb

26.5 KB

vga_dis.cmp.tdb

23.9 KB

prev_cmp_vga_dis.fit.qmsg

21.8 KB

vga_dis.cmp.rdb

16.3 KB

vga_dis.cmp.hdb

9.6 KB

vga_dis.map.hdb

8.9 KB

vga_dis.sgdiff.hdb

8.5 KB

vga_dis.rtlv.hdb

8.2 KB

vga_dis.pre_map.hdb

8.1 KB

vga_dis.map.cdb

7.6 KB

vga_dis.sgdiff.cdb

6.6 KB

vga_dis.(0).cnf.cdb

6.3 KB

vga_dis.pre_map.cdb

6.1 KB

vga_dis.rtlv_sg.cdb

6.0 KB

vga_dis.map.qmsg

4.2 KB

prev_cmp_vga_dis.map.qmsg

4.1 KB

vga_dis.asm.qmsg

2.2 KB

prev_cmp_vga_dis.asm.qmsg

2.2 KB

vga_dis.asm_labs.ddb

2.0 KB

vga_dis.(0).cnf.hdb

1.6 KB

vga_dis.hier_info

1.3 KB

vga_dis.lpc.txt

1.1 KB

vga_dis.hif

0.7 KB

vga_dis.lpc.html

0.4 KB

vga_dis.lpc.rdb

0.4 KB

vga_dis.cmp.kpt

0.3 KB

vga_dis.rtlv_sg_swap.cdb

0.2 KB

vga_dis.tis_db_list.ddb

0.2 KB

vga_dis.eco.cdb

0.2 KB

vga_dis.sld_design_entry.sci

0.2 KB

vga_dis.sld_design_entry_dsc.sci

0.2 KB

vga_dis.db_info

0.1 KB

vga_dis.cbx.xml

0.1 KB

vga_dis.map.logdb

0.0 KB

vga_dis.cmp.logdb

0.0 KB

vga_dis.syn_hier_info

0.0 KB

/深入浅出6/EX6/verilogvga/incremental_db/compiled_partitions/

vga_dis.root_partition.map.kpt

12.0 KB

/深入浅出6/EX6/verilogvga/incremental_db/

README

0.7 KB

/深入浅出6/EX6/verilogvga/

vga_dis.tan.rpt

66.7 KB

vga_dis.fit.rpt

65.6 KB

vga_dis_assignment_defaults.qdf

40.2 KB

vga_dis.map.rpt

16.6 KB

vga_dis.pin

15.4 KB

vga_dis.pof

7.9 KB

vga_dis.asm.rpt

7.4 KB

vga_dis.flow.rpt

6.7 KB

vga_dis.v

2.9 KB

vga_dis.v.bak

2.9 KB

vga_dis.qsf

2.5 KB

vga_dis.tan.summary

1.0 KB

vga_dis.qpf

0.9 KB

vga_dis.qws

0.5 KB

vga_dis.fit.summary

0.4 KB

vga_dis.fit.smsg

0.3 KB

vga_dis.cdf

0.3 KB

vga_dis.map.summary

0.3 KB

vga_dis.dpf

0.2 KB

vga_dis.done

0.0 KB

/深入浅出7/EX7/uartverilog/db/

my_uart_top_global_asgn_op.abo

143.9 KB

prev_cmp_my_uart_top.tan.qmsg

34.5 KB

prev_cmp_my_uart_top.fit.qmsg

21.7 KB

prev_cmp_my_uart_top.map.qmsg

8.4 KB

prev_cmp_my_uart_top.asm.qmsg

2.2 KB

my_uart_top.db_info

0.1 KB

/深入浅出7/EX7/uartverilog/incremental_db/compiled_partitions/

my_uart_top.root_partition.map.kpt

39.8 KB

/深入浅出7/EX7/uartverilog/incremental_db/

README

0.7 KB

/深入浅出7/EX7/uartverilog/

my_uart_top.tan.rpt

77.1 KB

my_uart_top.fit.rpt

63.6 KB

my_uart_top.jpg

43.9 KB

my_uart_top_assignment_defaults.qdf

40.2 KB

my_uart_top.map.rpt

21.4 KB

my_uart_top.pin

15.4 KB

my_uart_top.pof

7.9 KB

my_uart_top.asm.rpt

7.5 KB

my_uart_top.flow.rpt

7.2 KB

my_uart_rx.v

3.7 KB

my_uart_tx.v

3.1 KB

my_uart_top.qsf

2.7 KB

my_uart_top.map.smsg

2.2 KB

my_uart_top.v

2.1 KB

my_uart_top.v.bak

2.1 KB

speed_select.v

2.0 KB

my_uart_top.tan.summary

1.5 KB

my_uart_top.qpf

0.9 KB

my_uart_top.qws

0.5 KB

my_uart_top.fit.summary

0.4 KB

my_uart_top.fit.smsg

0.3 KB

my_uart_top.cdf

0.3 KB

my_uart_top.map.summary

0.3 KB

my_uart_top.dpf

0.2 KB

my_uart_top.done

0.0 KB

/深入浅出8/EX8/ps2verilog/db/

ps2_key_global_asgn_op.abo

170.2 KB

prev_cmp_ps2_key.tan.qmsg

64.8 KB

ps2_key.tan.qmsg

64.8 KB

ps2_key.cmp0.ddb

57.1 KB

prev_cmp_ps2_key.fit.qmsg

31.9 KB

ps2_key.fit.qmsg

31.9 KB

ps2_key.cmp.cdb

29.9 KB

ps2_key.cmp.tdb

22.1 KB

ps2_key.cmp.rdb

18.2 KB

prev_cmp_ps2_key.map.qmsg

14.7 KB

ps2_key.map.qmsg

14.7 KB

ps2_key.cmp.hdb

10.4 KB

ps2_key.map.cdb

10.3 KB

ps2_key.sgdiff.hdb

10.1 KB

ps2_key.map.hdb

10.0 KB

ps2_key.pre_map.hdb

9.8 KB

ps2_key.rtlv.hdb

9.8 KB

ps2_key.sgate.rvd

9.7 KB

ps2_key.rtlv_sg.cdb

9.3 KB

ps2_key.sgdiff.cdb

8.6 KB

ps2_key.pre_map.cdb

8.6 KB

ps2_key.(1).cnf.cdb

5.9 KB

ps2_key.hier_info

4.3 KB

ps2_key.(3).cnf.cdb

3.0 KB

ps2_key.hif

2.3 KB

ps2_key.(2).cnf.cdb

2.3 KB

ps2_key.asm.qmsg

2.2 KB

prev_cmp_ps2_key.asm.qmsg

2.2 KB

ps2_key.asm_labs.ddb

2.2 KB

ps2_key.lpc.txt

1.9 KB

ps2_key.(1).cnf.hdb

1.9 KB

ps2_key.rpp.qmsg

1.8 KB

ps2_key.lpc.html

1.6 KB

ps2_key.(0).cnf.cdb

1.4 KB

ps2_key.(3).cnf.hdb

1.1 KB

ps2_key.(0).cnf.hdb

1.0 KB

ps2_key.(2).cnf.hdb

0.8 KB

ps2_key.rtlv_sg_swap.cdb

0.8 KB

ps2_key.lpc.rdb

0.5 KB

ps2_key.cmp.kpt

0.3 KB

ps2_key.sgate_sm.rvd

0.2 KB

ps2_key.tis_db_list.ddb

0.2 KB

ps2_key.eco.cdb

0.2 KB

ps2_key.sld_design_entry_dsc.sci

0.2 KB

ps2_key.sld_design_entry.sci

0.2 KB

ps2_key.db_info

0.1 KB

ps2_key.cbx.xml

0.1 KB

ps2_key.cmp.logdb

0.0 KB

ps2_key.map.logdb

0.0 KB

ps2_key.syn_hier_info

0.0 KB

/深入浅出8/EX8/ps2verilog/incremental_db/compiled_partitions/

ps2_key.root_partition.map.kpt

30.2 KB

/深入浅出8/EX8/ps2verilog/incremental_db/

README

0.7 KB

/深入浅出8/EX8/ps2verilog/

ps2_key.tan.rpt

93.3 KB

ps2_key.fit.rpt

67.7 KB

ps2_key_assignment_defaults.qdf

40.2 KB

ps2_key.jpg

33.0 KB

ps2_key.map.rpt

23.8 KB

ps2_key.pin

15.4 KB

ps2_key.pof

7.9 KB

ps2_key.asm.rpt

7.4 KB

ps2_key.flow.rpt

6.8 KB

ps2scan.v

4.5 KB

my_uart_tx.v

3.1 KB

ps2_key.qsf

2.6 KB

speed_select.v

2.0 KB

ps2_key.tan.summary

1.8 KB

ps2_key.v

1.6 KB

ps2_key.v.bak

1.5 KB

ps2_key.qpf

0.9 KB

ps2_key.qws

0.5 KB

ps2_key.fit.summary

0.4 KB

ps2_key.fit.smsg

0.3 KB

ps2_key.cdf

0.3 KB

ps2_key.map.summary

0.3 KB

ps2_key.dpf

0.2 KB

ps2_key.done

0.0 KB

/深入浅出9/EX9/verilogiic1121/db/

iic_top_global_asgn_op.abo

201.3 KB

iic_top.cmp0.ddb

65.6 KB

iic_top.cmp.cdb

37.8 KB

iic_top.tan.qmsg

35.9 KB

prev_cmp_iic_top.tan.qmsg

35.9 KB

iic_top.fit.qmsg

33.8 KB

prev_cmp_iic_top.fit.qmsg

33.8 KB

iic_top.cmp.tdb

29.9 KB

iic_top.rtlv_sg.cdb

23.5 KB

iic_top.pre_map.cdb

23.0 KB

iic_top.(1).cnf.cdb

20.1 KB

iic_top.cmp.rdb

19.1 KB

iic_top.sgdiff.hdb

13.9 KB

iic_top.sgate.rvd

12.7 KB

iic_top.pre_map.hdb

12.5 KB

iic_top.cmp.hdb

12.5 KB

iic_top.rtlv.hdb

12.5 KB

iic_top.map.cdb

12.4 KB

iic_top.sgdiff.cdb

12.0 KB

iic_top.map.hdb

11.6 KB

iic_top.sgate_sm.rvd

8.1 KB

prev_cmp_iic_top.map.qmsg

8.0 KB

iic_top.map.qmsg

8.0 KB

iic_top.hier_info

4.8 KB

iic_top.hif

3.5 KB

iic_top.(2).cnf.cdb

2.5 KB

iic_top.asm_labs.ddb

2.5 KB

iic_top.asm.qmsg

2.2 KB

prev_cmp_iic_top.asm.qmsg

2.2 KB

wed.wsf

2.1 KB

iic_top.(1).cnf.hdb

2.1 KB

iic_top.rpp.qmsg

1.8 KB

iic_top.lpc.txt

1.7 KB

iic_top.(0).cnf.cdb

1.4 KB

iic_top.lpc.html

1.2 KB

iic_top.(2).cnf.hdb

1.1 KB

iic_top.rtlv_sg_swap.cdb

1.0 KB

iic_top.(0).cnf.hdb

1.0 KB

iic_top.smp_dump.txt

0.9 KB

iic_top.lpc.rdb

0.5 KB

iic_top.cmp.kpt

0.3 KB

iic_top.tis_db_list.ddb

0.2 KB

iic_top.eco.cdb

0.2 KB

iic_top.sld_design_entry.sci

0.2 KB

iic_top.sld_design_entry_dsc.sci

0.2 KB

iic_top.db_info

0.1 KB

iic_top.cbx.xml

0.1 KB

iic_top.cmp.logdb

0.0 KB

iic_top.map.logdb

0.0 KB

iic_top.syn_hier_info

0.0 KB

/深入浅出9/EX9/verilogiic1121/incremental_db/compiled_partitions/

iic_top.root_partition.map.kpt

60.0 KB

/深入浅出9/EX9/verilogiic1121/incremental_db/

README

0.7 KB

/深入浅出9/EX9/verilogiic1121/

cstate.jpg

110.0 KB

iic_top.tan.rpt

76.3 KB

iic_top.fit.rpt

70.6 KB

iic_top_assignment_defaults.qdf

40.2 KB

iic_top.map.rpt

30.6 KB

iic_top.pin

15.4 KB

iic_com.v

10.7 KB

iic_top.pof

7.9 KB

iic_top.asm.rpt

7.5 KB

iic_top.flow.rpt

6.8 KB

tb_iic_top.vwf

3.9 KB

iic_top.qsf

3.0 KB

led_seg7.v

2.2 KB

iic_top.tan.summary

1.5 KB

iic_top.v

1.3 KB

iic_top.v.bak

1.2 KB

iic_top.qpf

0.9 KB

iic_top.qws

0.5 KB

iic_top.fit.summary

0.4 KB

iic_top.fit.smsg

0.3 KB

iic_top.cdf

0.3 KB

iic_top.map.summary

0.3 KB

iic_top.dpf

0.2 KB

iic_top.done

0.0 KB

/

Lesson06:Quartus.II使用简介与第一个工程实例.(ED2000.COM).wmv

212.6 MB

Lesson02:可编程逻辑器件基础.(ED2000.COM).wmv

191.0 MB

Lesson10:BJ-EPM240学习板实验3——Johnson.计数器实验.(ED2000.COM).wmv

189.3 MB

Lesson14:BJ-EPM240学习板实验7——串口通信实验.(ED2000.COM).wmv

182.8 MB

Lesson33:SF-EP1C开发板实验10——基于SDRAM读写的串口调试实验.(ED2000.COM).wmv

173.9 MB

Lesson32:如何使用TimeQuest.(ED2000.COM).wmv

168.5 MB

Lesson04:Verilog语法基础.(ED2000.COM).wmv

147.7 MB

Lesson23:SF-EP1C开发板实验2——基于74HC595的数码管实验.(ED2000.COM).wmv

147.2 MB

Lesson16:BJ-EPM240学习板实验9——I2C通信实验.(ED2000.COM).wmv

139.2 MB

Lesson07:BJ-EPM240学习板实验1——分频计数实验.(ED2000.COM).wmv

138.2 MB

Lesson22:SF-EP1C开发板实验1——AS和JTAG配置方式.(ED2000.COM).wmv

135.5 MB

Lesson28:SF-EP1C开发板实验7——基于M4K块的单口RAM配置仿真实验.(ED2000.COM).wmv

119.0 MB

Lesson05:BJ-EPM240学习板介绍.(ED2000.COM).wmv

115.4 MB

Lesson18:BJ-EPM240学习板实验11——MAX.II内部震荡时钟使用实例.(ED2000.COM).wmv

115.0 MB

Lesson09:BJ-EPM240学习板实验2——按键消抖实验.(ED2000.COM).wmv

114.6 MB

Lesson17:BJ-EPM240学习板实验10——SRAM读写实验.(ED2000.COM).wmv

114.0 MB

Lesson15:BJ-EPM240学习板实验8——PS2键盘解码实验.(ED2000.COM).wmv

111.0 MB

Lesson13:BJ-EPM240学习板实验6——VGA接口实验.(ED2000.COM).wmv

110.7 MB

Lesson35:SF-EP1C开发板实验12——DIY数码相框.(ED2000.COM).wmv

106.7 MB

Lesson31:时序分析基础.(ED2000.COM).wmv

104.0 MB

Lesson27:SF-EP1C开发板实验6——VGA字符显示实验.(ED2000.COM).wmv

103.9 MB

Lesson24:SF-EP1C开发板实验3——PLL配置仿真实验.(ED2000.COM).wmv

103.6 MB

Lesson34:SF-EP1C开发板实验11——DIY逻辑分析仪.(ED2000.COM).wmv

94.5 MB

Lesson21:SF-EP1C开发板介绍.(ED2000.COM).wmv

94.2 MB

Lesson12:BJ-EPM240学习板实验5——乘法器设计实验.(ED2000.COM).wmv

91.0 MB

Lesson19:BJ-EPM240学习板实验12——MAX.II的UFM模块使用实例.(ED2000.COM).wmv

90.3 MB

Lesson20:BJ-EPM240学习板实验13——Quartus.II调用ModelSim仿真实例.(ED2000.COM).wmv

85.2 MB

Lesson08:简单的Testbench设计.(ED2000.COM).wmv

82.9 MB

Lesson29:SF-EP1C开发板实验8——基于M4K块的移位寄存器配置仿真实验.(ED2000.COM).wmv

81.7 MB

Lesson25:SF-EP1C开发板实验4——基于FIFO的串口发送机设计.(ED2000.COM).wmv

72.9 MB

Lesson30:SF-EP1C开发板实验9——基于M4K块配置ROM的字符数据存储VGA显示实验.(ED2000.COM).wmv

69.3 MB

Lesson03:FPGA开发流程概述.wmv

67.6 MB

Lesson01:课程概述与如何学好FPGA.(ED2000.COM).wmv

48.3 MB

Lesson26:SF-EP1C开发板实验5——256色VGA显示实验.(ED2000.COM).wmv

46.1 MB

Lesson11:BJ-EPM240学习板实验4——数码管显示实验.(ED2000.COM).wmv

30.3 MB

 

Total files 5149


Copyright © 2025 FileMood.com